3.03.2009

"At heart, we're a reverse engineering design company" - AMD

"Advanced Micro Devices Inc closed a deal to spin off its manufacturing operations on Monday, and said it expects the new company to assume responsibility for paying off about $1.1 billion of debt.
The plants which make AMD's chips are now part of a $5 billion joint venture with Advanced Technology Investment Co, of Abu Dhabi, temporarily called The Foundry Co."
- Reuters

In a very creative way, AMD has ridden itself of its crippling debt and the massive burden of capital investment going forward. While AMD may sound as if this strategic move brings them closer to their core expertise, it is without a doubt that this back-to-the-corner decision was the only way for AMD to remain viable. This new lease allows AMD, maybe for a few more product lifecycles to continue and remain as the only challenger to Intel.

At the bleeding edge of semiconductor technology, it has yet to be seen whether a fabless company can challenge one with a foundry. In the not so bleeding edge such as memory products companies with their own foundry like Samsung are dominating over the rest of the industry but competition remains vibrant. But in the x86 space where process leadership creates cost and performance advantages, history isn't kind to fabless companies. Starting this week, AMD is effectively what Transmeta was back in 2000. The difference is Transmeta had a lot of hype going for them and probably with a more compelling product offering in the mobile space.

966 comments:

«Oldest   ‹Older   401 – 600 of 966   Newer›   Newest»
Anonymous said...

IBM and the consortium are pooling resources and have little ability to invest as much as INTEL so they are taking the easy route and one that will result in an inferior transistor, no if or butts about it.



There is no "easy" route... both integration approaches have issues... it is not a no-brainer dealing with having to stabilize the high K and metal stack so it can survive the spike anneal for the implants (in the gate first flow).... Similarly Intel's replacement flow adds a polish step, some extra integration in general, and the ability to gap fill quite small features - which will only get harder as features get smaller, and for this reason I suspect Intel will migrate to gate first as well in the future (maybe 22nm or 15nm). I'm still guessing this may be coupled potentially with a shift to SOI if some of the alternative memory techs (to replace the 6 or 8 transistor SRAM cells) pans out and/or Intel moves to trigate. I suspect tri-gate will mix things up quite a bit - it will also make replacement flow very hard as you are now talking about gap filling much more complex geometries.

In any event it's hard to say inferior transistors with no real published data from IBM - I've seen some basic x-tor curves, but I'm not clear if that is the final process... I would not listen to the anonymous poser (oops I mean poster) making such blanket claims without any accompanying facts.

Tonus said...

"How sad, got the greatest CPUs on earth, greatest factories, and stupid ass marketing that can only think of copying BMW. Paul should fire them all"

This has always been the case. Intel is ahead in process tech and manufacturing, and has a marketing dept that does some of the weirdest stuff I've ever seen (Blue Man Group, anyone?).

Then again, the alternative would be to NOT have the best process and manufacturing, have a marketing department that has nothing to market, and have a company that is running so deep in the red that it has to sell parts of itself off in order to survive another quarter or two.

I guess I'd rather be copying BMW instead of GM.

Anonymous said...

"Both Process has Issues"

Don't know but last I checked only one HighK metal gate process is in manufacture. I believe manufactured in 4 300mm factories if memory serves me correct. All other people are showing papers and powerpoint promises. If any flow has "issues" it would be the one not in production I think.

IBM has a long history of bragging oops I mean publishing if they have anything at all. The fact they have no credible published transistor performance data yet claim to have HighK metal gate very close to production lends me to make the only logical conclusion that what they have isn't worth bragging about. The people still join the consortium for the fact they have no other alternatve as INTEL isn't going to be sharing their hard earned secrets with anyone.

The lack of data says enough about who is ahead and who is struggling. Did I read somewhere that TSMC is struggling with yields below 30% on their latest technology.

Scaling has run its natural course and only the big boys can play now.

Anonymous said...

Don't know but last I checked only one HighK metal gate process is in manufacture.

That doesn't mean the process is better or worse... it means INTEL is better at getting it into manufacturing. There is a distinction, but perhaps you cannot see it. And if you cared to actually READ my post, you'd see that I was talking about issues going forward. Unless you think when things turn to 22nm and you are talking about void-free filling of features ~10-12nm wide along with features that may no longer be "simple" trenches (if trigate is implemented)

The lack of data says enough about who is ahead and who is struggling. Did I read somewhere that TSMC is struggling with yields below 30% on their latest technology.

What does TSMC have to do with anything and what does yield on a SiO2 process have to do with integration approaches on high K... stop spewing out random information as if you actually know something... post a link and some info or you will continued to be dismissed as a poser.

Yeah but the sky is blue... and the earth is round... so Intel must have a better high K process?

Both integration approaches have issues... Intel is in production because they have spent more money, dedicated more time, tooling and resources and probably have superior engineering, oh and they started the actual fab work earlier then everyone (the initial integration work was done on 200mm, pre-2000). If they went the gate first approach who's not to say that would be in production earlier too?

Your assumption that in production first is equivalent to superior is ridiculous... perhaps Intel accepted some tradeoffs IN ORDER to get it into production first?

Intel's process may be superior - but I'll wait for some actual data to make a determination.

You can argue that what matters is what makes it into production and when (and I'd agree), but you can't argue which process is technically better based on the calendar.

Anonymous said...

Your logic makes total sense. I retract everything!

Intel has been at this almost 10 years. They had all sorts of time and financial constraints and as such probably made bad tradeoffs that they will pay dearly for at 22nm. Yes they felt all the pressure from the competition and took short cuts.

IBM on the other hand was slow and methodical and were not forced to try and quickly produce a competive answer. They were all along planning on introducing at 32nm.

What data will prove INTEL's process/approach is superior to IBM/AMD? Drive current, Yield, Wafer volume, corporate profits, market share, TTM, product power/frequency/performance?

BTW what is the distinction between a better process and a better at getting it to manufacture. By your logic you are saying that a Yugo would be a great car if you give it to Honda to manufacture. Are GM cars bad cars or simply poorly manufactured?

LOL your logic stand way above mine. I shall spew no more without facts or references and humble myself to your superior logic.

InTheKnow said...

ITK all highk metal gate process gate first or gate last require some additional patterning and film removal to adust the workfunction for P and N fet.

Of course they do. My point wasn't to review the entire process. Since I obviously failed to make my point clearly, I'll try again in a bit more detail.

IBM claimed that their gate first process was a simple insertion into the existing SiON gate process flow. The implication was that all you had to do was swap out the SiON gate for the high K material and drop in the metal in place of the poly Si and you were good to go.

Logic required that there had to be some sort of barrier material or "cap" between the high K and the metal gate if the stack was going to go through the high temp anneal processes in a gate first flow. Now IBM is saying what was discussed on this board some time back, that there are additional steps relating to theses "caps".

IBM made a big deal about the extra steps the gate last flow requires. Now they are coming out and saying that their process uses these "caps". So they are also adding extra steps. I just found it interesting that after IBM used this difference as a point of superiority in their chosen flow, that they now revealing that it isn't quite that simple after all.

Now Intel may use these "caps" in their process too. I don't know. But in theory, they may not need them because the HK/MG interface isn't exposed to the high temp anneal processes.

InTheKnow said...

Unless you think when things turn to 22nm and you are talking about void-free filling of features ~10-12nm wide along with features that may no longer be "simple" trenches (if trigate is implemented)

I'm intimately familiar with voiding issues in high aspect ratio areas from my days as a plating engineer. However, just to be contrary, I would argue that the Atomic Layer Deposition (ALD) process changes the rules of this game.

For those interested in voiding in a CVD process I would suggest you read this article for some basics. The long and the short of it though, is voids are caused by the top of the feature filling before the lower portion of the feature. This prevents the reaction from occurring below the plug and produces a void.

However, ALD is a self limiting process. A single layer of atoms reacts with the surface and then the reaction stops. This would prevent the top of the feature from filling in before the bottom and eliminates the cause of voiding.

Now I have to admit that most processes don't achieve this ideal. There is some degree of excess reaction beyond the perfect mono-layer deposition that will possibly lead to voiding. The question is how close to ideal is the process that Intel is using for their HK/MG deposition steps.

Anonymous said...

IBM 'claimed' gate first was simple insertion.

I'll claim that they chose the simple insertion as they knew they got caught with their pants down and were late in developing a real HighK metal G process when INTEL pulled their surprise 45nm annoucement a few years back. Since they were late they had to choose one that they had any hope of actually making work.

Gate stack first is simple but really forces you to make compromises in picking materials as you must insure they stand up to all the post processing to form the transistors. You can't cheat thermodymnics and a good transistor really needs highly doped and steep junctions for the best performance and short channel control

INTEL's gate last process allows them to freely optimized the transistors with dummy gate and then come back with fewer constraints with materials to optimize the gate dielectric and stack materials not needing to worry about subjecting them to the high temperature processing that the transistor needs. The only downside is ofcourse the careful process control in removing dummy gate and filling them trenches. The fact that their 45nm ramped so well and that 32nm is right on schedule already says all I need to know. They are going to end up doing this in what 3 or 4 factories spread across the globe and flood the market with i3s, i5s and i7s and make a ton of money doing it. Its a wonder that someone out there questions whether they took the right approach.

Anonymous said...

I'm intimately familiar with voiding issues in high aspect ratio areas from my days as a plating engineer. However, just to be contrary, I would argue that the Atomic Layer Deposition (ALD) process changes the rules of this game.

If Intel was using ALD for all of the metal filling processes you'd be right... but there are 2 issues with your statement.

1) It's not an aspect ration, it's a size issue - the AR on the poly gates is not that severe (as opposed to things like the STI trenches or other nasty features). Not sure where your voiding experience from, but I suspect it would be on STI or one of the interconnect steps... Poly is typically kept rather short in height and is scaled thinner as the feature is shrunk to

2) ALD is great for conformal depositions (same thickness regardless of orientation), but it is TERRIBLE in terms of voiding - which seems counterintuitive at first.

If you do ALD on a trench - you get growth on the sidewalls and the bottom at more or less the same rates... what happens when the walls finally 'touch' each other? Do you just hope they are perfectly parallel and form a completely voidless feature? Even if this happpens to work out perfectly (which it won't because your poly features are not perfectly vertical), you'll be left with a seam after you do the metal CMP. If you have any W plug experience, you'll know what an exposed seam means for future processing when you do your etches and metal interconnects to the gate.

Intel may use ALD for the workfunction metal deposition and obviously the high K dep, but they are not using ALD for the final metal fill. (This is about all I can say)

Anonymous said...

This is what you stated:

IBM and the consortium are pooling resources and have little ability to invest as much as INTEL so they are taking the easy route and one that will result in an inferior transistor, no if or butts about it.

No actual data referenced.... you just KNOW(?)it will result in an inferior transistor because it's an 'easier' route. Given your posing (damn typos, I mean 'posting') history on this board, I cannot accept the "I just know it and we should accept your statements as gospel" logic.

Here is what I said which apparently you have taken the time NOT TO READ, but have taken the time to twist:

In any event it's hard to say inferior transistors with no real published data from IBM - I've seen some basic x-tor curves, but I'm not clear if that is the final process...

My point and my "CRAZY" logic is you can't evaluate transistor performance without transistor data... yeah I know that's just NUTS! You also can't make claims ("no ifs or butts about it") on transistor performance based on how many fabs you have running or when a tech node is implemented - those are measurements of manufacturability and manufacturing prowess, which you are mistakenly choosing to swap interchangeably with technical transistor performance.

Is anyone disputing Intel is far superior on manufacturing and is much further along on high K (apparently I am, because you can't read)... I'm just saying there are other factors that went into the high K integration choices and those may not have always lead to the implementation of the 'best' technical option. To choose to evaluate the technical performance of 2 processes without looking at any actual data and merely look at when things were implemented and in what volume is idiotic.

You should apply your Yugo logic to yourself.... if Yugo had 4 high volume fabs up and running before Toyota does that make their process technically superior?

Intel's process may or may not be better FROM A TRANSISTOR PERFORMANCE POINT of view... but until the actual data on both process is seen, I'll reserve judgement like any sane person would do. The fact that Intel is in high volume, doesn't necessarily mean the transistor performance will end up being better.

InTheKnow said...

Not sure where your voiding experience from, but I suspect it would be on STI or one of the interconnect steps...

Nope, not semiconductor related at all. It's copper electroplate. Even though the cause is different, (current density rather than temperature/kinetic) the end result is the same.

InTheKnow said...

That is copper electroplate on PCB's by the way, not interconnects. The scale is different, but the physics are still the same. :)

InTheKnow said...

Guru, you also make a good point about the seams. From a materials point of view, a simple anneal would fix this, but that does rather defeat the purpose of going gate last, doesn't it. ;)

As I stated, I was primarily being contrary. So while ALD probably isn't the answer, the point remains, if anyone is going to find a manufacturable solution, it is Intel.

Anonymous said...

This much I know whether there was one factory that made 100,000 yugos or 4 that made 400,000 it would still be a crappy car. Also of they were first and Toyota or Honda was to try and make it in their line it would still be crappy.

The point is crappy is cappy regardless if you are a great manufacture or not.

But if you are out making something on a brand new technology. Lets say an electrical car. People can debate till they cows come home but in the end only Toyota had the Prius out and selling. Is it bad and first and selling a lot?

Part of good engineering is TTM.

I find it funny you somehow believe INTEL has inferior transistors. You only have to look at the published data for the past few generations to ascertain who is where

Anonymous said...

I find it funny you somehow believe INTEL has inferior transistors.

Please point (specifically) to any post where I said or inferred that Intel has inferior transistors. Are you in 3rd grade, or do you just have 3rd grade reading comprehension skills?

I said, without data, it is impossible to determine which high K process results in better transistor performance - it may or may not be Intel.... somehow in your head that's been baking in the AZ sun, you construe** this to mean I'm saying Intel has inferior transistor performance and you somehow have broadend this out to look at the last few generations, despite talking about high K.

Seriously, are you really this illiterate? Or do you have some persecution (or inferiority) complex? Does it now come down to you just making stuff up and then refuting it?

**construe - to infer or deduce, to explain or deduce the meaning of
(let me know if you need help on any of the big words, as it is apparent you are having a hard time reading)

SPARKS said...

"(let me know if you need help on any of the big words, as it is apparent you are having a hard time reading)"

I thought perhaps this would be a good time for me to enter the fray.

First, let me say that I relish the moments you "big boys" argue/talk about process tech. Even though your augments characteristically take on the process steps ranging from excellent technical analysis to down right insults, the contents contained therein, however, reveals a wealth of knowledge and practical experience.

Sure, Lex is a pain in the ass, but ya have to give the devil his due, he certainly knows how to get you going. Frankly, and quite obviously, I couldn't do it, not in this life time anyway, I simply don't have your brains, or his.

"To thine own self be true, and it must follow, as the night the day, thou canst not then be false to any man."

More importantly, what a privilege it is, however, for a guy like me who installs 45 pound, 6000A Class L fuses. You boys get me thinking! God Bless!

Like, How far can you go? What we are looking at here are feature sizes that are between 150 to 200 ATOMS across!!! This is assuming .1 nm the smallest atoms and .3 nm for the largest. Really, there must be a fundimental limit to feature sizes eventually, no? Moore's law is a finite set, unless you boys start building transistors with with, up's, downs, charms, strange's, top's, and bottoms!

So where to you go? When will it all stop working when the electrons start swinging into your interconnects because they are so close to other electron orbits???? Can you make a transistor work thats, say 10 atoms x 10 atoms by 1 or 2 atoms thick??? Is it possible, or will it be possible, to lay down a Hafnium layer (anong with its top secret sticky layer) to say, 1 or 2 ATOMS!!! thick???

What about the "polishing"? How the hell can you remove "the irregularities" from atomic deposition, atom by atom??? Where do you by these ultra flat wheels and abrasives, from little gray men who visit Area 51?

Basically, what I'm asking, without compomising INTC propertary secrets, of course, as you get smaller will the physics get in the way of the structure? How many atoms can you go without quantum mechanics saying "NFW, thats as far as you go?"

SPARKS

SPARKS said...

Oh yeah, Happy Fathers Day, all!

SPARKS

Anonymous said...

SPARK's Happy Father's day to u too!

A few quotes for you from a hero of yours. I rather like them myself too!

"Perceived barriers are meant to be surmounted, circumvented or tunneled thru"

"Moore's Law continues, but the formula for success is changing"

"Modern CMOS scaling is as much about material and structural innovation as dimenstion scaling"


So those that following traditional paths, advertise simply replacement solutions may really not be innovating and are really just trapped and will fail as they can't think outside the box.

Innovation takes vision, courage, and lots of $.

A Nonny Moose said...

Hmm, I wonder whazzup with AMD's stock, which has gained something like 300% since the $1.63 low earlier in the year, but since the relative high of $4.82 on June 10, has shed $1.32 to $3.50 today.. I just wonder if the new Xeons are eating into AMD's server or HPC share:

http://news.moneycentral.msn.com/ticker/article.aspx?Feed=BW&Date=20090623&ID=10044378&Symbol=INTC

"Intel Inside Nearly Eighty Percent of the World’s Fastest Supercomputers"

"More supercomputers than ever are using Intel Corporation processors, according to the latest TOP500 list. The high performance computing (HPC) community is especially enthusiastic about the Intel® Xeon® 5500 processor series. Launched only 3 months ago these chips are already driving the research and analytical capabilities of 33 systems on the list.

The 33rd edition of the TOP500 list shows that 399 of the world’s top 500 systems, including two in the top 10, now have Intel inside. Systems using Intel® Xeon® quad-core processors feature prominently in the list, holding 340 spots. Using reinvented high-k metal gate transistors, Intel’s previous-generation quad-core 45nm Intel® Xeon® processor 5400 series is used in 263 systems."

Anonymous said...

Hmm, I wonder whazzup with AMD's stock, which has gained something like 300% since the $1.63 low earlier in the year, but since the relative high of $4.82 on June 10, has shed $1.32 to $3.50 today..

Typical overshoot - the 'gain' knob on AMD's stock price is turned up so it will often move similar to Intel, just more so and I think analysts were taking too many happy pills on the tech recovery (seemed like everything in tech went up for a while). Perhaps folks now remember that earnings kind of matter?

The EU fine also made for some fine press, but now that it has been digested, perhaps folks realize that really won't change the competitive situation that much. If the US, and Sparks buddies that he keeps voting for in NY! :), gets heavily involved, that may change things a bit.

That said if the stock goes into the low 3's it may be speculating time again... though I completely fouled things up dumping AMD in the mid 3's last time for what I thought at the time was a tidy profit (left some bucks on the table on that trade).

SPARKS said...

"(left some bucks on the table on that trade)"

No way. That's what they all say after a prudent dump and an uptick. It's always in perfect 20/20 retrospect. It was a ballzy move then, you made your money, and you got out a winner, and hoo ya, forget the rest. Nothing else matters.

FEAR
GREED
FEAR
GREED
FEAR
GREED

Those crazy bastards on Wall Street are positively manic, box lunches and all!

Now if someone would have suggested to go long on J.P Morgan Chase a few months back, now that was, (and may still be) a big move.

I'll make a prediction. When this mess turns around, they are going to come out BIG. They are getting back to grass roots and they mean business. Pun intended.

As for my "buddies" upstate, they are locking the doors to avoid voting!

http://wcbstv.com/politics/albany.shakeup.
senate.2.1037926.html

New York
It's a helluva town.
Brooklyn's up and the Battery's down.
New York
It's a helluva town!

SPARKS

SPARKS said...

"A few quotes for you from a hero of yours."

I like the word hero.

I've been in the closet my whole life.
Mickey Mantle, you ask? Na, Werner Von Braun.
Reggie Jackson, maybe? Na, Steven Hawking.
Golf lessons, perhaps? Na, Higgs boson.

You see, it's much easier for me as a construction mutt to surprise them with a modicum of intellect, than it would be to come off as intellectual only to be discovered a fraud. (Peter Principle)

With that in mind, where in the world would I go to get a first hand education on one of the most fascinating and sophisticated industries on this planet? Right here, of course. That's because the "hero's" (plural) took the time (and patience) to pass on the concepts.

Like trying to fill all the nooks and crannies of a microscopic English muffin with butter.

Seems to me, from your last discussion, voids are still a serious problem as you scale down further, when features have smaller pitches. Of course, advances in ALD, selectively targeting specific areas may be one of many solutions. I'm a novice, however, so I can only begin to speculate on a multitude of methods and matierials.

But I have been given a wonderful foundation to speculate.

See what I mean?

SPARKS

InTheKnow said...

Sparks,

If you want to get a look at a seam in a tungsten filled trench, take a look at this article.

What an anneal can do is cause some grain growth in the metal and, if the conditions are right, cause the grains to grow together across the seam eliminating it. Note that this applies to a seam and not an actual void. The grains have to be touching to grow together.

This type of anneal is often done with processed pieces of bulk metal to relieve stress from cold working the metal. Not so much in a semi-conductor because it takes a long time and would eat up your thermal budget.

Anonymous said...

Money has been made on AMD in the past and likely will be in the future too. But any bet on AMD is pure speculation and playing the sentiment versus any pretense of an "investment" AMD by any measure; profit, growth, book value is a no brainer. You run away as fast as you can with your money. Only stupid Arabs and bankers looking for a commission find a reason to "invest" in such a sorry ass enterprise.

They had a chance in the earlier this decade but squandered that once in a lifetime chance. Now they are finished, not enough market share, too late a design, too much debt and sorry ass proces technology.

BTW Sparks the quotes came from Mark Bohr from a presentation at ISSC. He gave a key note there. You mentioned you held him in high regard in one post.

SPARKS said...

Ah! Mark Bohr! The "Omar Bradley" of the chip wars!

I've got to get a signed picture of him in my office.


SPARKS

SPARKS said...

ITK

Nice link!

Brilliant! Using larger rocks, apposed to smaller ones (which would be the logical choice, BTW), to fill the bucket. Heat 'em up and grow as you go! Less voids, plus lower resistively as a bonus! (Resistively is concept I'm quite familiar with.)

More interestingly are the similarities between copper and tungsten when they expand after heating. (Which is why, in my world, terminals come loose thereby exacerbating an already bad condition when they cool. Subsequentailly, the cycle of heating and cooling, in my case, leads to FIRES!!!)

Here, however, in your link, someone thought outside the box (referring to Lex, of course), took a bad thing, and made it a good thing.

It remarkable how materials behave differently on an atomic level. More remarkable is the multitude of variables introduced with the use of different material and subsequent process steps.

It's a helluva stew you guys cook up, mind boggling, actually.

SPARKS

InTheKnow said...

I stumbled on this link when I went to see what the fringe elements on AMD zone were up to.

One thing I found amusing was how it was swallowed hook-line-and-sinker, because it showed what they wanted to see. Personally I thought the article lacked too much detail to be more than an interesting datum point. Note, I'm not dismissing it out of hand, but there isn't much system information out there to judge by.

I've provided data on Nehalem on CFD code that show it to be a real killer compared to Shanghai. It is data generated by Fluent (a CFD software company) and they have no vested interest in seeing any particular hardware vendor succeed. Adding another 50% performance from another 2 dies (Istanbul) still wouldn't close the gap I've seen reported.

One thing has impressed me about Istanbul is the power consumption. It seems AMD has managed to keep 6 cores in the same thermal envelope that Shanghai uses for 4 cores. It makes you wonder why they can't drop Shanghai power ratings a bin, though.

Any bets that even this faint praise of AMD sends Lex into another "AMD is dead" frenzy?

Tonus said...

It bears noting that in the conclusion, they state "So, while the Nehalem may have the best performance per core and higher efficiency, the Istanbul does a good job of making up for its deficiencies by adding additional cores."

AMD took the 'more is better' approach, which can work in the right situation, as seen at that site. I suspect that the Zoners are, for a change, not so interested in per-core performance or efficiency this time? :)

SPARKS said...

"AMD took the 'more is better' approach, which can work in the right situation, as seen at that site."

It has been a successful formula, especially with graphics. They don't have a single chip solution that can compete with NVDA.

However, when you factor in a price performance ratio, they sell well, hold on to market share, and live on the edge when it comes to margins.

They're not hurting INTC much, but NVDA is feeling the crunch. Most anal-ists agree, things don't look so good for NVDA.

SPARKS

Anonymous said...

AMD took the 'more is better' approach, which can work in the right situation, as seen at that site.

Nothing wrong with that - whatever works. From a business perspective it may be hard to undercut Nehalem pricing with a larger 6 core 45nm die, especially as Nehalem moves to 32nm, but from a technical perspective, whatever works. No different than "gluing" cores or "cheating" with extra cache... as long as it works, who cares?

In server space, where SW seems to be able to more tailored toward parallel work and more efficient use of multiple cores, this probably will be fine (other than potential margin/cost issues on the AMD/GF end of things). On desktop I don't think SW is at the stage where extra cores can compensate for a core with lower IPC and overall efficiency so this probably isn't going to dent the upper end market in the desktop space (and certainly not notebook).

Ultimately, the problem remains AMD needs a more competitive product in the higher end mobile space and the higher end desktop space (both of which while lower margin than server, are MUCH higher volume and total revenue). Without this capability AMD basically can churn out a "low end" i7 (like say the 920) and say look here's AMD's upper bound on pricing... and if we cut this (and leave the other chips alone), AMD will have to cut their whole lineup in response in order to keep the price/performance argument

Without a new core (Bulldozer- 2011?) AMD can only continue to fight on the cost front in the key markets - while their chipset advantage in graphics helps, the truly high volume markets do not need the "DX quadrillion" graphics capability that AMD touts. While a lot of folks talk about the chipset capability - the people wanting these capbilities generally end up buying a discrete card anyway!

pointer said...
This comment has been removed by the author.
pointer said...

Just posted my first post this year ... again on fanbois from some zone FUD about the Turbo boost :)

Computing Intensive:

SPARKS said...

"Nothing wrong with that - whatever works. From a business perspective it may be hard to undercut Nehalem pricing with a larger 6 core ------ et al"

True, all of it. What makes me wonder, even with software parallelism, where and how does performance factor in with power usage? Can a 6 core AMD 45nM chip compete a 4 core 32nM INTC chip? Given the substantial performance spread and the current generations use of power (or lack of) that INTC has over AMD, even with the best optimized software, it still may be a wash.

However, with 6 cores AMD at 45nM will need a lot more juice no matter how they throttle these things. Additionally, this still doesn't factor in hyperthreading with INTC's virtual cores as an another variable in the mix. Then you have to factor INTC's methods of power reduction.

Too many variables and too much for me to speculate at this point. The whole thing confuses me. In the final analysis, I suppose AMD's solution to throw more cores at their performance gap is the only one they've got.

Hey, I've got it, the three P's!

Performance
Per Watt
Per core

SPARKS

Anonymous said...

AMD on 45nm that is so yesterday, by the time then ramp that product INTEL will be flooding the market with 32nm second generation HighK/Metal Gate and AMD will still be stuck with lame old SiO2

THey really hitched their wagon to the wrong process team in skankville NY

SPARKS said...

In addition to throwing more cores at their relative lack of performance, AMD has come up with a new publicity stunt/marketing hype code named TWKR. So, now it's back to the MHz wars? A couple of months ago it was the Tri Core unlocking scheme, now this.

What will they think of next?

http://www.fudzilla.com/content/view/14455/1/

And, you guys beat up INTC's BMW marketing Wiz Kids?

G.M.A.F.B.

Just buy a i920 and sweep all this bullshit aside.

SPARKS

Tonus said...

AMD's strategy for a while has been to focus on those areas where they can claim an advantage. Performance per dollar, performance per watt, etc. It's the essence of marketing, play up your strengths (or invent them, if there are none) and play down your weaknesses.

As for "skankville, NY", I think that term better applies to Albany. More specifically, to the government offices. After all, we had our previous governor resign after disgracing himself over revelations that he used call girls, and then the replacement governor decided to come clean pre-emptively, and wound up admitting to multiple affairs in the past.

SPARKS said...

"then the replacement governor decided to come clean pre-emptively, and wound up admitting to multiple affairs in the past."

Quite right. I don't know if anyone was aware at the same time his wife was admitting to having affairs of her own. Well, isn't that just cozy.

It worked well. He, using his disability pass, got away completely unscathed. His wife's admission canceled any collateral damage politically, and their 'open' relationship got an unspoken approval from the 'Progressive Liberals'.

I mean REALLY, how do you beat up a blind guy from getting a little action on the side, while he can't see what his wife is doing on the other side? You can't MAKE this shit up!

No wonder AMD has chosen New York. They'll fit right in, sleaze tactics, et al.

TONUS, we New Yorkers must stick together and empathize with each others miseries.

Don't cha love it?

SPARKS

SPARKS said...

Speaking of AMD SLEAZE. They too have a 965, just like INTC! AND, it's faster @ 3.4GHz!

There ya go, 965's on the cheap!

http://www.fudzilla.com/content/view/14463/1/

SPARKS

Tonus said...

That's impressive, but if they're selling them for 1/4 the cost of a Core i7-975, they are still hurting. The question for AMD is, are they able to offer them at that price because they have the volume? That would be good news for them. If they don't have volume but are simply hoping to undercut Intel, that's bad news for them. Undercutting Intel is one reason that AMD is in such dire straits.

Still, an official SKU at that high a speed sounds to me like a good thing for AMD.

Regarding NYC politics and the governor getting a pass, he's apparently managed to avoid taking his part in the blame for the embarrassing and comical mess in the legislature. The Democrats held a two-seat advantage until two of them switched parties, then one of them switched right back, meaning that the legislature is now deadlocked, but that's no problem because the deputy governor can break a tie, right?

Oops, the new governor never bothered to appoint one, so right now it's chaos as a bunch of children try to wrestle for control of the government. As you said Sparks, you cannot make this stuff up.

InTheKnow said...

I read this quote from our old friend Abinstein over in the zone and just about had a stroke.

I doubt that the said yield problem of TSMC, if any, is systematic. It may be as simple as not ramping up production fast enough to meet the demands. They just recently increased capex on fab equipments and they are hiring at the moment. I also doubt any financial analyst know what is does yield mean for semiconductor chips.

I often disagree with analysts, but I would bet my bottom dollar on their yield analysis before I would bet a penny on any yield analysis Abinstein did. I still remember his complete unwillingness/inability to grasp the details of defect density when I had the conversation with him.

InTheKnow said...

Speaking of analysts, I was reading this article and found myself wondering if Intel isn't progressing Atom too slowly. The real target for these things is smart phones.

Moorestown will drop idle power by an astounding 50x, which should put them in the 1.6-2.0mW range. I think that is good enough for all day usage. There is still an issue with active power usage, though. I haven't seen any numbers on active power usage, but early on Intel said they were targeting a 2x reduction on active power draw. Given that the current Atom has an active power draw between 0.65W and 2.4W, Moorestown should have an active power draw in the 0.38-1.2W range. Add to this the fact that Moorestown is still a 2 chip solution (with a total power draw of around 6W) and it is still going to have too much power draw for use in smartphones.

Medfield will be a single chip solution. Intel claims it will have a lower power draw than Moorestown, which will be quite an acomplishment since it will have all the functionality of a two chip solution on a single chip. If Intel manages to do this and gets a 25% power reduction, that will put them in the 4.5 W range for the whole solution. I'm not sure if that will be acceptable for a high end smart phone or not, but I think it is at least getting close.

The real problem with all this is that Moorestown isn't going to show up until 2010 and Medfield is even further out at 2011.

Intel zelots and trolls may cry all they want, but ARM knows they are in a dogfight and you can bet they will be pushing for all they are worth in that 18-24 month period.

Anonymous said...

Intel is a big ship and moves slowely. ARM has the advantage of having a ton of licenese to small more nimble and desperate companies. That is a key advantage, but the longterm undoing for ARM will be the same as the RISC chips/companies of a decade ago.

Technology and scaling overcomes all. INTEL will have a full generation if not generation and half lead on technology. In two years they will have two more spins on the design and I'll wager that at that point they will be pretty competitive if not the compelling solution. Apple may be trying its hand at its own design but in the end they got to secure technology and INTEL has the best by a long shot

SPARKS said...

Well, perhaps I am an Intel zealot. I'll admit it. Because of my adoration of big, powerful, albeit expensive chips, the ARM/Atom phenomenon has been interesting but not exciting, to me, anyway. I suppose it's because I'm a rabid enthusiast by its' truest definition. Let me say, however, the multitude and masses clicking away at their handheld units of every color, apparently, puts me in the minority. I have no such little beasty. Obviously, they are popular and the market is responding.

ITK you've had a handle on this thing from the beginning and you haven't been wrong yet. If the whole thing weren't so important to INTC, why then would they be spending $884M for this?

http://soa.sys-con.com/node/991535

http://www.ecommercetimes.com/story/67244.html

ITK, you're in good company, to say the least, and what ever INTC does is all right by me. If they think it important, so be it. And, that's coming from a zealot.

SPARKS

InTheKnow said...

Sparks, you may be a zealot, but if Intel made a stupid move, I think you would be one of the first to yell that they need to pull their head out. I don't have any issue with that at all as long as you don't let your enthusiasm blind you.

The wind river deal addresses a whole different market from the netbook/smartphone market that grabs all the press. The wind river acquisition will move atom into your car, your stereo, your TV and your toaster. This is a very high volume, low(er) margin business. But Intel estimates that it is worth another $10B in added revenue. So it is nothing to sneeze at.

The concern I have is that I think Intel is moving Atom into the smartphone space too slowly. I'm afraid if they can't move faster, ARM will be so well entrenched that Intel won't be able to get any leverage in their target market.

SPARKS said...

"if Intel made a stupid move, I think you would be one of the first to yell."

Ahhh, no,--------- I'd scream bloody murder!

As I don't know much, if anything, about ARM, but I do know trends. Most of my exposure to the market is based completely on personal observations. I've noticed that the users of these small devices tend to crave additional features and capabilities, whereas notebook/netbook people tend to desire 'smaller and lighter,' if you will, without losing functionality. Frankly, there's the rub.

As the demands of the market go forward and the tech refines itself where ARM gets more powerful and ATOM gets smaller and more efficient, where will it all meet? I see it as two ends of the same candle burning at both ends. Sure there may be a 'gap' somewhere, where there's something left to burn, but they will meet. Since I am a power slob (If I am going to hump around an electronic device all day it had better talk the kings English.), I think that INTC has the lions share (and most lucrative) end of the candle. It's just a matter of much of it they will cede to the little jackals. Personally, I think that's what they did by closing the Wind River software deal, the last remaining portion of the market (TV's, etc.) where ARM meets ATOM.

Who knows? With more breakthroughs in tech (smaller process, power enhancements) INTC may nibble their way further into the ARM market. Certainly, ARM is not going to nibble it way into the 'power end' of INTC's market, especially when you can get as much power as you want from a wall outlet, car alternator, or a notebook/netbook Lithium Ion pack.

The Wind River deal, I believe, closed the remaining gap, that's where the battle will be fought between ARM and INTC, where the margins are higher.

BTW, they can keep the annoying goddamned phones. I went fishing yesterday. Some idiots' phone rang incessantly. After he was done texting I told him, "If you don't shut that f--king thing off while I'm fishing, I take it and throw it overboard".

(I got a nice Striper!)

SPARKS

Anonymous said...

Where they meet he who has the best technology and the biggest factory wins. There will be fireworks as the loser will go down in a shower of sparks. Hmm where is SPARC, POWER, PaRISC, and so many other so superior architectures from a decade ago?

Any question who has the building blocks to win and who is hoping the he who has the building blocks screws up?

InTheKnow said...

As I don't know much, if anything, about ARM, but I do know trends....

These are the same trends that I see as well. There is one factor that you still need to account for. That is time to market or TTM in marketing speak. (No, I'm not in marketing, but I know a few who are.)

Netbooks are a prime example of the TTM advantage. Intel dominates because they got there first. The burden of proving their system is good enough now rests on everyone else. Atom is the de facto standard because it was first.

Smart phones already exist, but the form factor and capabilities are still being defined. This opens a window of opportunity for Intel. But if they take too long to offer a solution, Intel will then be the company competing against the existing standard.

As to the phone thing, I have to agree. I'm already more "connected" than I want to be. On the other hand, my daughter would shrivel up and die if you unplugged one of the umpteen devices she uses to stay connected.

SPARKS said...

"Smart phones already exist, but the form factor and capabilities are still being defined."

Excellent. Precisely my point. This is the 'gap' I was referring to, a device that fits squarely between a netbook and smart phone. Let's call it the Nintendo DS form factor. From what I've seen, the features incorporated in these devices are getting suspiciously close to netbooks in functionality.

http://www.nintendodsi.com/#slideshow=watch-the-video


At the other end of the spectrum is this remarkably small ATOM motherboard, which is a fully functional PC, featuring a wireless capability. It is roughly 100 mm x 100 mm. Imagine this thing with SSD's and a 8.5 x 11 touch screen?

http://www.compulab.co.il/fitpc2/html/fitpc2-sb-datasheet.htm

There are many who feel INTC was caught by surprise with the demand and success of ATOM. Maybe so. However, I think INTC knows it's got a tiger by the tail here and they have a ridiculously strong grip with money, manufacturing, and technology. As LEX has pointed out, I don't think INTC is going to drop the ball on this one. They are not about to let this one go as the tech moves forward------and gets smaller.

The path is clear. They are headed in the right direction. After all, they've got a progressive marketing guy at the helm, Big Paulie (with kids, too), and he can turn a ship on a dime.

(Can you believe it? I'm taking an interest in this low powered stuff.)

SPARKS

Anonymous said...

TTM is an advantage but not so much when the big dick will always arrive with a bigger stick.

In a few years INTEL will be on 4 generation HighK metal G have a 50% advantage in performance, 2x in power and 2x in density. ARM will fold, Apple will have no choice but to return to INTEL. Seen it with RISC will see it again with low power.

Game over everyone is finished, LOL

Anonymous said...

In a few years INTEL will be on 4 generation HighK metal G have a 50% advantage in performance, 2x in power and 2x in density.

What the HELL are you babbling about... 32nm, which would be Intel's 2nd gen high K is due out end of year/early 2010... so in a few years Intel is going to go thru 32nm, 22nm and 15nm... thanks again for the insight. 4th generation would be in the 2014 range... I guess that's a 'few' years?

Also the change from no high K to high K is a bit more significant then evolutions of high K and you forget to factor in gate oxide scaling which will offset much if not all of any gains from future high K iterations. Any process gains in power will likely come from continued reductions in Vt (and this Vcore) on future gens and most of any gains will come on the design, not process side.

And you continue to ignore that ARM has a SIGNIFICANT power advantage DESPITE it being behind on "old" process technology (at least a node or 2 behind leading edge logic) and is using nodes which have not implemented high K yet. While Intel will gain on the deign front, ARM has yet to benefit from a high K process - even a "first" gen process should help substantially over the 90nm and 65nm processes ARM is typically using today. What you don't seem to get is these devices aren't high performance and ARM doesn't need to be on the same node to compete, heck they probably don't even need to be on the trailing node.

You keep comparing ARM to servers/RISC, yet the applications are VASTLY different and have different factors involved. Mobile is much more a power and cost segment and while you keep talking about 2X transistor density you don't even have the first clue in die size between an ARM based chip and an Atom based one. Any guesses on relative dies size?

Here's a bit of wisdom for you... die size is 'kinda' important on the cost front. Transistor density is a useful metric if you are comparing 2 products of similar transistor counts! Any ideas on relative transistor counts?

Here's another bit of wisdom... while process is important for power, design and transistor counts are also 'kinda', and in many cases more. important than the process tech.

Are you really this clueless or are you just trolling?

SPARKS said...

"What the HELL are you babbling about..."

L.M.F.A.O.!!!!!!

I just about fell off my chair.


SPARKS

Anonymous said...

It's really a waste of time trying to educate these intel trolls on these type of topics.

No wonder why AMD's 45nm process consumes less power and overclocks extremely well compared to intel's supposedly "better and cutting-edge" High-K metal junk.

I remember when these little wankers were rumbling and babling about AMD processors not being capable of sustaining a good overclock in extreme cooling conditions (call it LN2 or LHE) because of the infamous "cold bug". Now that the tables are turned over they seem to be very quiet and humble. :)

All I can say is that Intel is VERY lucky that AMD hasn't yet implemented High-K metal gates on the SOI process because the outlook would be alot worse for intel than it is now (overclocking-wise).

But giving credit to intel (something that you guys will never do for AMD), it takes a 3.4GHz Phenom II to be equal or sometimes surpass (depending on the application) an 2.93GHz i7 940. That's an astounding 500MHz deficit that AMD should address as soon as possible. Price-wise, the Phenom II 960 is really competitive and gives you more performance per buck (well, as long as you don't think with your ass, you know what I'm talking about).

SPARKS said...

"Price-wise, the Phenom II 960 is really competitive and gives you more performance per buck (well, as long as you don't think with your ass, you know what I'm talking about)."

Sure! But then you have to ask yourself why is the asking price for a pound of manure is less than say, a pound of gold, and why folks would pay the premium in price. Why do they charge more for Porsche's, Corvette's, and Vipers than they do for Hundi's? Unless I'm not mistaken, and not using my ass to think with, buy a clue pal, the difference is, um,-------PERFORMANCE. I certainly wouldn't purchase a manure wristwatch, nor would I install manure in my computer.

"All I can say is that Intel is VERY lucky that AMD hasn't yet implemented High-K metal gates on the SOI process because the outlook would be a lot worse for intel than it is now (overclocking-wise)."

LUCKY!?!?!

Obviously, you're not thinking with your brain, junior. Did you ever stop to think that AMD made a BIG mistake with SOI years back and Hi-K cannot be implemented using SOI? Do you really believe implementing a Hafnium Dielectric is as easy as putting on shave cream on a 300 mm wafer???

AMD committed itself EXCLUSIVELY to SOI years back with tooling, etc., and it was all too late. INTC wasn't lucky, they made it work. AMD screwed the pooch with SOI, BIGTIME, and they haven't figured out how work a layer of Hafnium YET!

GOT IT?

SPARKS

Tonus said...

In other words, Intel is lucky that AMD has not done better, because otherwise Intel would be in trouble.

That's like the loser in a boxing match explaining that "my opponent is lucky that I was having trouble avoiding his punches, otherwise it would've been a very different fight."

Anonymous troll should stop insulting AMD like that. :)

Anonymous said...

blah blah blah blah.

Who does need an education?

Intel is lucky or is AMD lucky? Did AMD luck out that INTEL was missguided and carry pipelining too far or embark on the VLIW itanium. What could those thousands of engineers have done on other projects. Was AMD lucky they got the x86 license. If not they would be nothing but a footnote in history, BTW they still will be. Was AMD lucky that they found some rich Arabs who pissed away a few billion. Surely no one else would waste good money chasing a bad business plan. Got to give the SUV/Truck loving american’s credit for helping AMD, now is that luck?

How can AMD implement what it doesn’t know how. INTEL’s lack of an in order simply architecture is more a poor management decision then any technical incapability. AMD/IBM’s lack of having a 45nm HighK/Metal Gate or even being a year late isn’t a management decision or lack of wanting. It’s a lack of capability which is very fundamental, much harder to fix then any of INTEL’s mistake.

Last I checked die size is related to scaling. Having a generation lead allows you to bring to market ½ the die size for significant cost advantage. It seems also lost on blabber blogger that a generation lead gives you significant power advantage too…

ARM will forever be behind on technology. INTEL will have the advantage of new designs optimized for power/die size versus a one design for server to Celeron all size fits all core soon. Scaling and ARM forever being on one to do nodes behind will make any transistor count different marginal in a couple years. That is something ARM can’t close. Thus with superior technology INTEL will crush ARM the same way INTEL crushed RISC and AMD. Its’ always INTEL’s battle to lose that keep others in business. INTEL executes ARM loses, nothing they can do about it. It’s a separate question whether Paul and his leaders fuck it up. That is the luck ARM and AMD hope for. Who knows, INTEL has screwed u big time so they have a long history of fucking up for others. Paul seems to be better then Craig for sure. Nothing ARM or AMD can do to really prevent INTEL, maybe delay but can’t stop.

Who is lucky and who has the force.

How you enjoy the blah blah blah blah.

InTheKnow said...

My apologies in advance for feeding the troll, but the claims are so egregiously asinine that I couldn't resist.

But giving credit to intel (something that you guys will never do for AMD)

I do give AMD credit where I think they deserve it.

From above:
One thing [that] has impressed me about Istanbul is the power consumption. It seems AMD has managed to keep 6 cores in the same thermal envelope that Shanghai uses for 4 cores.

Maybe that is too tough for you to understand. How about this?

Is-tan-bul (that is an AMD chip FYI) has very good per-form-ance per watt.

Price-wise, the Phenom II 960 is really competitive and gives you more performance per buck

If you want to go with a performance per dollar metric, that is fine. But AMD only has the edge here because Intel allows it. I know you won't except that statement, but if you have any intellectual honesty at all a simple thought experiment will prove my assertion.

Just compare Intel's margins with AMD's. It won't take more than simple arithmetic to figure out who can afford to turn a profit with the bigger price cuts.

InTheKnow said...

How you enjoy the blah blah blah blah.

YAWN!!!

Anonymous said...

Phenom II X4 965 @4.4GHz on air

Enjoy!!! ;)

SPARKS said...

ITK,

An interesting thing happened today. My apprentice can to work with a "new" phone. I asked him about it. He said it was his girlfriends phone. "She knows how to unlock the his SIM card so he could use his account". First I said, "whoa!", then "I said marry her today!"

He is just using her phone temporarily while he shops for a new phone He told me he looked into other devices which to him, "seemed like a copy of the original." Yes, you've probably guessed it, he is getting an Apple I-phone.

It has a great GUI, a relatively large screen, and a well thoughtout file structure. You're right about the marketing thing, they simply got there first with the right formula, at the right time. Apple is using an ARM processor and the unit works extremely well, and the battery life is excellent. So say the half dozen other people I know who also have them.It makes me think that Apple will be the first to fill the "gap" that we spoke of earlier. It wouldn't surprise me a bit if they did.

That said, there is a big market out there for a device that could do it all, and do it all day long. There is a lot of players converging on that market, that's for sure.

I think he is looking at this.

http://www.fudzilla.com/content/view/14321/1/

SPARKS

InTheKnow said...

Phenom II X4 965 @4.4GHz on air

A common overclock for an i7 920. Since the Intel solutions does more at the same clock rate, I fail to see the point.

InTheKnow said...

Apple is using an ARM processor and the unit works extremely well, and the battery life is excellent. So say the half dozen other people I know who also have them.It makes me think that Apple will be the first to fill the "gap" that we spoke of earlier.

Apple does have a nice unit by all accounts (I don't have one), but they do have a couple really big weaknesses.

First, they are tied to AT&T exclusively. If you want another service provider, you are out of luck. I know of people who have opted out of getting an iPhone rather than going with AT&T.

Second is price. Apple hasn't been priced for the masses in a long long time. I think the iPhone is in the $1500-2000 price range. I can get an awfully nice desk top rig for that kind of change.

If Intel can come up with a reasonably close approximation of the experience, at say half the price, being first won't be enough. But the longer they wait, the harder it will be to push out the entrenched leader. The clock started ticking with the introduction of the iPhone. The imitations are not far behind and Intel's Atom is nowhere in sight.

This is why I'm saying I think they are taking too long to get Moorestown out the door.

SPARKS said...

"I fail to see the point."

Thanks for saving me the trouble. You are one of the most objective guys here, along with most of the folks here. Well, perhaps with one big exception, and a little one, me.

Anyway, since I have been digging around surfing the ARM/ATOM drama, I dug this up for your review. It looks like NOKIA is kicking over to INTC much the same way APPLE did a few years back. Something big is going on.


http://www.sharkyextreme.com/article.php/3826771

Anonymous said...

According to the CPU-Z shot, Phenom II 960 is based on a new revision. I wonder if this alone is really helping in Overclocking.

Anonymous said...

Phenom II X4 965 @4.4GHz on air


According to the CPU-Z shot, Phenom II 960 is based on a new revision. I wonder if this alone is really helping in Overclocking.

And all this done at a mere Vcore of 1.6Volts!!! Surely that's a sustainable voltage?!? Perhaps hammering the chip with voltage is helping. I'm sure the stepping helps some, but it would be interesting to see what they get at say 1.4V Vcore or take an old stepping it and jack it up with 1.6V

It also appears to be a fantastic screen shot of the chip idling (unless folks think this thing was at 25.6C under load?) - don't know the language but it seems no benches, no load testing... is it stable or is this one of those let's just get it to boot overclocks (which both Intel and AMD OC'rs like to do).

Me give me something that you can run over time (like Sparks) and something not running at 1.6V which would have me wondering where my spare CPU is.

InTheKnow said...

I dug this up for your review.

Yeah, I thought that was pretty exciting too, until I saw a number of items like this one. It doesn't do anything to pull in the development of Atom as far as I can tell.

I get the impression that Intel and Nokia are just starting work. It may pay long term dividends, but that window of opportunity is still closing. By 2011 there are going to be a lot of iPhones and clones our on the market. Obviously, 2012 is even worse.

InTheKnow said...

A common overclock for an i7 920.

Actually that is a mistake on my part. 4.0 is a common overclock. You might get 4.4 on an i7 with a lot of work. So the 10% increase might get you into the same ballpark as the i7 920. But as was mentioned above, at 1.6V how long will you stay there?

Incidentally, I've never really been into extreme overclocking, so don't expect me to get all warm and fuzzy about suicide runs by enthusiasts on either side of the aisle.

Tonus said...

AMD needs to find a way to overclock its ASP.

Anonymous said...

AMD needs to find a way to overclock its ASP :)

Isn't that what "TWKR" is? Oh wait, it just a limited # of cherrypicked 965's that they handed out to reviewers and OC'rs and don't even plan on selling. (I must say their marketing is getting better as they seemed to get decent mileage/coverage out of a part they don't intend to sell) All they had to do was laser etch TWKR on a few chips, hand them out and folks started reviewing it like it was some sort of new product (pretty good return on the money it must have cost them to do it).

Intel reports next week and I think AMD is the following week. Once again the actual #'s probably won't move the stock price (unless the #'s are way high or low), it'll be all about outlook. My gut is saying analysts have overestimated the timing of tech recovery and both companies may disappoint "the street" with their expectations and as a result both stocks may get whacked a bit.

SPARKS said...

"Actually that is a mistake on my part. 4.0 is a common overclock. You might get 4.4 on an i7 with a lot of work."

An awful lot of work. The motherboard plays a HUGE factor here as I am absolutely certain ITK knows plenty. PLL voltage skews, Advanced Memory Timings, various motherboard voltages, memory voltage, VERY expensive memory, and host of other obscure variables are entirely dependent on the design and manufacturer of the motherboard.

In my case, QX9770 @ 3.84 GHz @ 1.425 V with 1800 MHz FSB, memory running synchronous @ 2.1 V, has been bullet proof for over a year. (P5E3 Premium) 4 GHz can be done, but heat builds up fast @ 1.475 V. Over 4 GHz things get a bit squirrely. There's some weird physics going on that makes reliability and stability go straight out the Windows (pun intended) for day to day use. Anyone who tells you any different has got their hand in your pocket, playing pocket pool. Each machine has a sweet spot, buy it, find it, report it. I found mines'.

Most, if not all, of the high end vendors, with their 6K plus machines, stay at 4 Gig or lower. Their reasons are obvious and prudent.

"Me give me something that you can run over time (like Sparks)"

That's the real deal. The homeboy deal, 24/7, not some wild LN2 asphyxiation party funded by manufacturer reps, with custom tweaked MOBO's and cherry picked hardware. That not overclocking, that's marketing.

Sure, they can talk all they want about the hot girls, the hot cars, and the hot hardware. Live with them, then you'll learn, "ya just can't push 'em too far." You will pay and you will get burned if you do.
Trust me.

SPARKS

InTheKnow said...

Isn't that what "TWKR" is? Oh wait, it just a limited # of cherrypicked 965's that they handed out to reviewers and OC'rs and don't even plan on selling.

If Intel had done this I'm sure the folks over at the zone would have had a fit.

Personally, if I'd bought a black edition, I'd be royally cheesed. I paid to get the most extreme part that AMD makes and now you tell me that they have been skimming the cream off of those and I had no chance to get the creme-de-la-creme?

Time for all the boys who bought a black edition chip and don't get a TWKR to file a class action law suit. :) LOL!

SPARKS said...

In case any arm chair overclocker's were under estimating the difficulties of pushing the limits I described above, please read this Anand article. This article focuses on Ultra High End memory ALONE. If any one out there thinks you can buy this stuff from New Egg, hook it up at home, and merrily overclock to 5 Gig, you're living in fantasy land.

"Phenom this, Core i7 that," they rant. Horseshit, you ain't living at these speeds 24/7

They chilled down to -110 C.
They overvolted ABOVE the 1.65 spec.
They fried a few modules in the process.

How that for getting burned?

The article mentions NOTHING about CPU voltages, PLL, and advanced motherboard parameters, which are beyond the scope of this test, and this discussion. It's real easy to hypothesize on overclocking above 4 gig, it's quite another to actually do it. If you do, it won't last for long. Fresh hardware (all of it) will not stay fresh for long as the article demonstrates

http://www.anandtech.com/memory/showdoc.aspx?i=3596

SPARKS

Anonymous said...

The New Economy (or the new EU growth plan):

http://www.bloomberg.com/apps/news?pid=20601085&sid=ay35nJkEoMio

Apparently now Microsoft needs to make available info and standardas and formatting info to its competitors so they have compatibility with Microsoft Office? WTF?!?!? Hey BMW, you need to release designs and interfaces on all of the components in your car so 3rd parties can make parts that can be used in your car... In fact you have to "unbundle" the car you sell... just provide the chasis and maybe the body and let the consumer decide where they get the rest of the parts from. They may get the rest of the car from you, but you need to share the key output of your development and research work so other companies can also build out the rest of your car.

“This is a constant backdrop,” he said. “Microsoft is now a heavily regulated company. There’s an interesting comparison between Microsoft and a tobacco company.” (from the link above)

Step A: Find profitable multinational company with lots of cash
Step B: Threaten said company with massive fines and either force company to settle for less or use the trade commissions to find some reason to uphold the fine.
Step C: In addition to money, force concessions so other companies can essentially ride the market that said company has developed.
Step D: Lather (the money on socialist programs), rinse (waste it all via beaucracy and gov't programs that have no competition) and repeat (find another multinational)

At what point is it just better to not do business with Europe? of course this would probably be classified as anti-competitive behavior and I'm guessing the EU would try to fine that company for NOT doing business...

While I'm sure there is some good that comes out of this occasionally at what point do people start asking what the fines/settlement money is being used for and is it a deterrent or just an alternate revenue stream for a region that cannot raise taxes any further without collapsing.

And guess what? How long before it happens in the US... this is the new politics of fear... fear the economy and fear the large multinational.

SPARKS said...

There is an excellent article/review of the new iPhone 3GS over at Anandtech. It explains in detail what these ARM processors are, how they do what they do, who they do it for, for those who didn't have a clue, like yours truly.

At 5 to 6 hundred bucks a pop they definitely are in the high end territory. They are quite functional with excellent power usage as an added bonus. The improvement over the last iPhone has been substantial, close to 95% overall. From my own private inquires, gathered from my mad dog morning commute, the cost per month is around 80 bucks. That ain't cheap.

Anand, along with our own ITK, feels that:

"Smartphones are going to see significant performance gains over the next few years. The iPhone 3GS is just the beginning."

I'm compelled to agree.

http://www.anandtech.com/gadgets/showdoc.aspx?i=3595

SPARKS

SPARKS said...

Hey GURU!

It looks like your President knows how to keep things up in Foreign Relations!

http://www.timesonline.co.uk/tol/news/world
/europe/article6685547.ece

Tail To The Chief!

SPARKS

Anonymous said...

Someone was throwing stones about x86 being too much burden for INTEL to ever compete in the low power space.

i was thinking go back to old coppermine Pentium III. It ran on 180nm technology 600MegHz to almost a Gig. Had something less then 100 million transistor. Fast forward to today. Take that core, resynthesize it to 45nm or 32nm, PV target it to 1Ghz, put clock gating and multiple power rails on it. Wrap memory controler, IO, and baseband on it. You are still talking a tiny chips that will sip power.

Anyone from INTEL listening, you'll blow ARM and Samsung away.

Anonymous said...

You mean kind of like Atom? Which is largely based off the P3 (Coppermine) by way of Core 2...

Absolutely brilliant...now if only Intel had though about it... oh wait... that's what atom is... 2006 called, they want to know where you were when you came up with the idea for Atom.

PS - Atom has 47million transistors... so your sub 100mil transistor "invention".... really not that impressive and a terrible target! Coppermine had a shade under 30mil... but if you update the core (sleep states, a little more L2 cache,HT, X86-64, other instructions, etc)...it's almost like you'd end up in the neighborhood of the 47mil that Atom has (must just be a startling KO-INK-EE-DINK)

Truly there are no limits to your dizzying intellect! I started to laugh, then I realized you were being serious.... well then it was just sad.

InTheKnow said...

I just scored a Q9650 on the cheap and was curious which mobo the folks on this board would recommend. I'll be looking to do a mild overclock that I can sustain 24x7. I'm looking at a single graphics card solution so no SLI or X-fire is required. The machine is going to have to connect to the network wirelessly, so a mobo with on-board wifi is a consideration.

Suggestions?

Anonymous said...

I found this excellent deal for you. Hope you like it:
Combo Deal

InTheKnow said...

I found this excellent deal for you.

Sorry to hear about your reading comprehension problem.

I already have the processor.

Perhaps you would like to explain why I would want to spend more money and change the whole build to satisfy your morbid hatred of all things Intel?

Anonymous said...

Oops. Sorry. Didn't see that.

...to satisfy your morbid hatred of all things Intel?
Funny you mention this when indeed you NEVER have said anything good about AMD. :D

Anonymous said...

Suggestions?

I've had good luck with Gigabyte. Relatively cheap (I currently have a P35 version) and overclocking is decent (I don't go crazy).

I haven't used the Gigabyte P45 based boards, but that's what I would go with if I was building right now (assuming you go the discrete graphics card route). There's a few on sale at Newegg right now. You can get the one with the ICH10R southbridge (raid5) for $85 after a $15 rebate. I'm not sure if they have versions with onboard wireless.

PS - don't feed the trolls! After all most people skip the first sentence of a 4 sentence post that they take the time to respond to :)

InTheKnow said...

Funny you mention this when indeed you NEVER have said anything good about AMD. :D

At the risk of repeating myself yet again, all you have to do is look above on this thread and you will see this.


I do give AMD credit where I think they deserve it.

From above:
One thing [that] has impressed me about Istanbul is the power consumption. It seems AMD has managed to keep 6 cores in the same thermal envelope that Shanghai uses for 4 cores.

Maybe that is too tough for you to understand. How about this?

Is-tan-bul (that is an AMD chip FYI) has very good per-form-ance per watt.


Perhaps your definition of good differs from mine?

InTheKnow said...

PS - don't feed the trolls!

Sorry, sometimes I just can't resist. They are so transparent that I get a chuckle out of watching them prance about. :)

Anonymous said...

Stupid AMD trolls. 4.4GHz on air? Is that supposed to be impressive?

Intel was showing off greater than 5GHz on air cooling in an enclosed system (i.e. not on an open test bench as in that AMD setup was)

http://www.hexus.net/content/item.php?item=18769

According to TechReport a 3.2 Phenom II 955 is still well behind a 2.66 Core i7 920.

http://techreport.com/articles.x/16796/14

It would take a 3.4 or possibly even 3.6 Phenom II to match the performance of i7.

InTheKnow said...

According to TechReport a 3.2 Phenom II 955 is still well behind a 2.66 Core i7 920.

http://techreport.com/articles.x/16796/14

It would take a 3.4 or possibly even 3.6 Phenom II to match the performance of i7.


At risk of once again saying something positive about AMD, the clock frequency really isn't relevant.

What matters is raw performance and power draw.

So if a stock Phenom II can match the performance of a stock i7 at the same power draw I view them as being pretty much equivalent chips.

I rather like the SPEC benchmarks in this regard. They cover a wide range of applications (other than gaming) and taken as a whole, give a pretty good indication of the overall performance of the chip.

Right now Phenom II doesn't quite match up to i7 by my metric, but the Phenom II is a huge step up from Barcelona. AMD deserves credit for fixing a total dog of a design.

Anticipating your next tirade about 32nm, I have to agree it will be interesting to see what Westmere brings to the table at the end of the year.

SPARKS said...

ITK, I wisely opted to wait before giving my suggestion on your proposed Q9650 build. (That's an Intel Q9650 Quad Core (Yorkfield) for all those who are reading impaired.)

However, I would like to set the record straight on your personal biases, or in this case, the lack of, in regard to INTC. OVER THE YEARS, with the pleasure of knowing you, me being a most rabid INTC fan, I can admit being straightened out by you on a number of occasions when I said anything that was a bit over the top, justifiably so.

Now that we've got that out of the way, lets talk about 'the build.'

You didn't mention your budget on a motherboard selection, or memory for that matter. I'm quite certain you know there will be a premium on an X48 board. While packed with features, they are expensive at $219 and up. There are the less expensive G45 series motherboards at a substantially lower price. They offer overclocking, but don't come with all the bells and whistles.

http://www.intel.com/products/desktop/chipsets/g45/g45-overview.htm

Another factor will be "mild" overclocking which will mean good memory, since you will be overclocking the FSB. Good memory, not the ultra high end stuff, however, will be a must.

Another point, the Q9650 is a heavy weight price wise. Unless the deal is VERY good, considering the new memory, and a new MOBO, why not shoot for an i7 920 build, just like good ole' TONUS? It just may be a ball park thing, and you'll be blowing my QX9770 (stock speeds) into the weeds, aside from gaming, but I don't think you're a gamer anyway.

BTW, 'Armchair Overclocker' are you PERSONALLY seeing these 5 gig numbers on YOUR Core i7 machine ON AIR, 24/7, load tested?????? Please, let us all know about YOUR machine and YOUR personal experiences, OK?

Everyone here is quite capable, to say the absolute least, of reading all the marketing HYPE from BOTH companies.

GOT IT?

SPARKS

SPARKS said...

I can recall in previous posts ITK, G, and Orthogonal explained how much wafer process is automated. Aside from poor Orthogonal leaving the comfort of his bed (among other things) in the middle of the night, is there anything new here? Or, is this a new spin on something that everyone has doing anyway?

We have heard about CTI.
Now we have APM (Automated Precision Manufacturing)

Frankly, from what you boys have told me, especially when you can alter parameters on the fly, it seems to me that it's all the same. Is there something new here?

http://www.theinquirer.net/inquirer/news/
1433393/apm-spin-global-foundries

SPARKS

Anonymous said...

Is global foundries selling chips or APM, who cares what you do as long as you deliver the yield and bin split. Why talk about anything else unless you only have spin and no yields or bin split.

InTheKnow said...

Is global foundries selling chips or APM....

When you are a foundry, you are selling your process. Your customers design the chips. Viewed from that perspective APM does have relevance. It serves to differentiate you from your competitors.

Anonymous said...

Is there something new here?

It's hard to say - APM (or generically any automated system) probably has more value/relevance for a foundry doing lots of products. Even with design rules, there are still subtleties moving from product to product on certain tools, or even integration or interaction between various modules.

The thing you have to question, which really has never been answered, is what is different about APM? AMD/GF speaks generically about how it can take the whole manufacturing process into account and can help with excursions, etc... but that implies other systems that the TSMC's Intel's, Samsungs's, UMC's have doesn't have that capability or can't do it as well.

They claim to have done 3rd party benchmarks, but there was no actual info on the outcome of the benchmarks... Are they 5% faster, 10% lower cost, 3% better yield, 20% faster excursion recovery time, etc. because of APM? And part of the problem is most of these data points have so many variables in them - how could you possibly assign it to APM specifically?

Say for example you recover from excursions 20% faster than your competitors. Is that because of your APM system, or perhaps it's because you have talented engineers, or perhaps it's because you run a simpler product mix, or perhaps it's because your running lower volumes so it's easier to narrow down a tool issue, perhaps you have a healthy process which makes it simpler, easier to recover...perhaps....

It could be a real advantage or it could be blue crystals - until AMD quantifies the benefit or specifies the unique capabilities of the system, it's hard to simply take their word on it... yet the INQ seems to, again, and again, and again....

InTheKnow said...

Frankly, from what you boys have told me, especially when you can alter parameters on the fly, it seems to me that it's all the same. Is there something new here?

First of all, I'm skeptical of any claim that isn't supported by data, regardless of who makes it.

Since they won't give any data, I can't really answer your question except in the most general sense.

If there is anything new here it is only in the matter of degree. What GF is claiming is that they have implemented a more extensive version of automated process control (APC) than anyone else has used yet.

I'll go into some of the theory behind what they are claiming later, but it will take me a while to put it together in a coherent fashion. I'll post it up when I get some time to write it up properly.

InTheKnow said...

Another point, the Q9650 is a heavy weight price wise. Unless the deal is VERY good, considering the new memory, and a new MOBO, why not shoot for an i7 920 build, just like good ole' TONUS?

I got the chip for less than I could get an i7 920. Combine that with the generally cheaper cost of a Core 2 mobo and it seemed the best way to go.

Regarding price. I'm shooting for about $1200 to complete the whole system, case, power supply, monitor, etc, not counting the CPU which I've got in my hot little hand.

Anonymous said...

Intel beat the street:

Revenue: 8.02Bil vs 7.28B expectation (vs 9.47B last year)
EPS: $0.18/share vs $0.08 consensus (this excludes the EU fine)

With the fine Intels posts a loss or $398Mil or -$0.07/share. They also guided for a stronger 2nd half.

Needless to say this topped expectations both on the actual #'s and the outlook and Intel was up 6-7% after hours. (I pretty much guessed this one EXACTLY WRONG last week). Not surprised with the #'s but surprised with the optimism on the outlook.

Oh and the EU fine is a one quarter blip on the radar screen. I wonder how long before the EU tries to take a 2nd bite out of the apple.

SPARKS said...

ITK, I have some suggestions. One of which is the most expensive of the lot. That is, of course, the motherboard. Since I own the board for over a year with nary a glitch, I can recommend it highly. It's loaded with features and its' BIOS is extremely versatile, albeit very complex.

It features an on board Wi-Fi w/antennas, SATA (Native) and another outboard SATA controller. You can't buy enough drives to load this thing to capacity.

http://www.newegg.com/Product/
Product.aspx?Item=N82E16813131276

ASUS P5E3 Premium Wi-Fi-AP@n

As for memory, that's a tough one. Since you'll be running 1333 MHz with the Q9650 and will be mildly overclocking, then 1600 MHz is the target.

Since 1333 is the native FSB for your Yorkie, keep in mind my Yorkie came with 1600 MHz native (The only one). Therefore, It's a no brainer that the die can handle the higher frequencies up to 1800 MHz, so can the MOBO. (As I type) But you will need the headroom because of the locked multiplier, going with higher FSB exclusively.

This would be the high end, to say the least:

http://www.zipzoomfly.com/jsp/
ProductDetail.jsp?ProductCode=10006750

CORSAIR TWIN3X2048-1800C7DF

The low latencies of 7-7-7-20 and 2.0 V is the key difference. These sold for $450+, a steal at $215, less than what I paid for my SuperTalent 1800 Project X. (same chips, voltage, and latencies)

However, for a low cost alternative, these at 1600 MHz will fill the bill.

http://www.newegg.com/Product/
Product.aspx?Item=N82E16820145200

With a good power supply, 550W or better, graphics dependant, I think you be very happy with this solid foundation.

Good hunting, and let me know.

SPARKS

SPARKS said...

"Needless to say this topped expectations both on the actual #'s and the outlook and Intel was up 6-7% after hours. (I pretty much guessed this one EXACTLY WRONG last week)."

Never. You may not alway be right, but you're never wrong.

By the way, in addition to being up 34 cents on the day, they rose a buck and a quarter after hours!!!

Go get 'em boys, back to 20 where we're supposed to be, and send ole' SPARKS a nice dividend check!!!!

HOO YA!!!! I LOVE INTC!!!

SPARKS %D

Tonus said...

"Oh and the EU fine is a one quarter blip on the radar screen. I wonder how long before the EU tries to take a 2nd bite out of the apple."

They should get some while the getting is good. With the USA inching slowly towards a more socialist system, the day may come when we demand that Europe find another cow to milk because we'll be too busy draining Intel and MS dry ourselves.

InTheKnow said...

I'm going to start this post off with a mandatory disclaimer. This discussion is going to be very general in nature. Due to the general nature of the discussion, I'm going to paint with a broad brush. I'm sure anyone that is desperate to pick a fight will be able to find instances that contradict statements I make here. Do try and take the general nature of the discussion in mind when the desire to pick nits tempts you. On the other hand if you think I'm completely out to lunch, feel free to call me on it.

Since GF has not released any real details regarding APM, I'm going to look at what is possible, not what it necessarily is. Despite being around for over 50 years, there are still widely ranging views of what degree of process control is desirable, so what I'm going to go through here is going to be largely colored by my personal opinion. What I'm going to write here is the best case scenario as I perceive it. Your mileage may vary.

To understand APM you have to first understand the components. There are actually three layers to any type of APM system. The bottom layer of the system is going to be Statistical Process Control or SPC. Built on the input from the SPC system you employ an Automated Process Control or APC system. Finally you will use APM to tie all the APC systems together into a unified whole. With that hierarchy established, let's look at the individual layers and see what they do.

SPC is a system used to observe the way a process behaves and define clear criteria on when it is appropriate to react to the observed behavior. The basic assumption is that all processes show a certain degree of variance from run to run and be observing the behavior of the system over time you can determine what is "normal" variation, and what is "special cause" variation. While you can work to reduce normal variation, SPC systems are designed to help you identify special cause variations and eliminate them before they impact significant quantities of your product.

As an example of special cause variation, let's look at a wafer handling robot that moves wafers in and out of the process chamber. The robot will move to a precise location to pick up each wafer being moved in the tool. Assume for some reason, a screw has worked loose on the robot with the result that the location is slightly off. As a result of this misalignment, the robot now lightly brushes the back side of a wafer. The contact is not hard enough to cause any visible damage to the wafer, but at the microscopic scales that are of concern in wafer processing the contact does cause a small number of microscopic particles to be generated. These particles eventually begin to show up on your wafers through some mechanism specific to the way the tool handles wafers. Using SPC, you will be able to detect this change in particle levels in the tool far earlier than you would otherwise and can begin an investigation to determine the cause of the particles and fix it. Note that in this case, a loose screw, the problem is likely going to get worse over time and could eventually cause a catastrophic failure. I should also point out that beyond flagging a tool for needed attention, particle issues are not something that can be addressed by the automated systems we are talking about.

The purpose of SPC in the APM hierarchy is to provide the basic inputs that are used by the APC system. APC is designed to take the raw inputs from the SPC system such as thickness, etch rates, trench depth and almost anything else you can measure and use those inputs to adjust tool settings from run-to-run to keep the values you are measuring as close to target values as possible. The data from the SPC system can be used in either a feed-forward or a feedback role.

Continued

InTheKnow said...

In a feed-forward role, the data is sent to processes that occur after the measurement is taken. Those processes then make adjustments to their operating parameters to compensate for the differences between the target value and the value actually measured. The intent is to bring the behavior of the final product back into the center of the process window rather than moving the measured value back to target. In a feedback role, the data is sent back to the process tool that generated the parameter being measured. The tool takes that data and adjusts processing conditions in that tool to ensure that the next product processed in the tool comes out on target. Feed-forward and feedback control are not mutually exclusive and are often used together.

We'll look at the formation of a sidewall spacer as an example. The sidewall spacer is the light L-shaped structure next to the 50nm gate shown in the image linked above. It is used to determine the placement of some of the implants that follow later in the process. The position of the implants will affect the final switching speed of the transistor, so there is certainly some value in ensuring that the spacer thickness is correct. For the sake of our demonstration, let's assume the spacer thickness is thin. The feedback APC application will take that data and feed it into a formula that adjusts the deposition process to ensure the next run is thicker. The data could also be used in a feed-forward mode to adjust the implant dose to ensure the transistor still has the correct speed. (And yes, I do know this is a gross oversimplification and might not be the correct response. But it illustrates the concept of feed-forward control. I'm actually aware of several good real world examples, but I don't intend to cause any intellectual property concerns.)

APC applications will usually have limits to the size of the shift they can make relative to the previous run as well as absolute limits on the parameters being adjusted (these limits are called guardbands) in order to prevent the process from going off the deep end. If you hit those limits APC should shut down the process and generate a warning and then the real fun of trying to fix the tool can begin.

And now we finally get to APM and what I think it could and should do. In the most general sense, APM should integrate and oversee all the APC models. It should look at all the feed-forward applications and see if any adjustments are going to push a tool outside of its guardbands and notify someone of potential problems down the line. Remember that feed-forward can be used to feed more than one process down the line, so APM should be able to determine which lots are going to have problems long before they get to the problem operation.

Continued

InTheKnow said...

One of the big issues with any APC application is cost. Measuring wafers costs time and money while adding no intrinsic value to the wafer. Metrology tools used to make the measurement take up space that could have been used by process tools. They also require maintenance and engineering support just like any other fab tool. So the objective is always to minimize the number of wafers that you measure. This has the potential to be a strong point for an APM application.

In a manual system, the variance of a measured parameter and the frequency that the parameter goes out of control is evaluated periodically. Based on pre-determined statistical criteria, one of two courses can be followed. First the control limits may be tightened to make the system more sensitive to detecting changes or loosened to reduce the number of out of control events to reduce tool downtime. As a second option, the frequency that the monitors are measured is could be adjusted to either sample more frequently as a response to process instability or decrease the sampling frequency for stable processes. APM would be able to continuously monitor these trends, apply the statistical rules and increase or decrease the monitoring rates instantaneously. This could produce faster run times and reduced costs for a healthy factory.

Based on the recent comments that prompted this long winded dissertation, APM should also do WIP (Wafer in Process, a measure of wafer movement through the fab) goaling. It should detect which tools have pending PMs, how many tools are up, and identify bottlenecks in the factory. Based on this information the system should steer lots to tools to minimize delays in processing and limit starts to prevent wafers from backing up. It should also manage sections of the process which are sensitive to delays between process steps and hold WIP in "safe" locations until there is sufficient capacity to ensure there are no unacceptable delays between process steps.

Anonymous said...

AMD and GF i think maybe GF = Globally Fuckup have been making lots of noise about APM or APC, but as ITK explained its very common knowledge out there and all companies practice it today. Nothing new at all, as he accuratly noted it ain't for free as you need lots of metrology and metrology is expensive. What is more interesting the larger the volume the better statistics play. For a low volume product it is of less value as you run product less often. In a big fab likely on different tools. When its different is it the product that is doing it, is it the tool who the hell knows. You only can tell if you are running a lot of a single product with lots of data every hour on all of the tools. GF running a few lots a week for their new secret non AMD/ATI customer won't get any fancy APM. THe'll be luck if they get the spacer thickness and gate length even close on the first try without very time consuming send ahead wafers.

Predicting when tools go down for preventive PM and managing wip is the easy part. The hard one will be when a tool goes south. That is what people are for and the bigger challenge. Process these days are more likely to need correction because of a slight drift then preventive maintence causing the tool to shut down. As such any manufacturing leader knows he can't slow starts, only a moron does that. That is common sense. Another thing the processing time from start to finish is a few months. If you are stopping starts because something at metals is down you really have a GF if you cant fix it in a few hours let along a few days. Anyone who stops starts and idles a billion dollar factory is again a GF. I'm beginning to like their name Global fuckup.

If GF wants to avoid their new name they better get their fab running really full. If they have the right volume even if one tool or chamber goes down they should have more then enough capacity to run. Who is stupid enough to have only one tool or a couple chambers to run so that if something goes south you lose 100% or 50% of your capacity? Oh yes I know, GF ( global fuckup does )

Anonymous said...

One other random thought, you think people would be smart enough to make sure the schedule there PMs and other things so not to cause a GF. After all its a billion dollar plus fab, you shouldn't be slowing starts, staging wafers, that cost money and profits. Oh yeah its GF, they don't make money the piss it away as its arab oil money

Anonymous said...

Hey Roborat, how about a new entry? These multiple clicks to get to the latest posts are slowing down my lurking.

Maybe you can turn InTheKnow's post, (article really) in to an entry. I enjoy his writing. Guru too and including many others.

pointer said...

purely my specultion, he might be busy with Win 7 release :)

Anonymous said...

LOL, just don't confuse people with the myth that somehow you can adjust a transistor's source-drain implant spacing distance to the gate with an implant dose. Nothing could be further from the truth. Anyone who thinks so doesn't no shit about device physics.

A better APC concept would be if the ILD thickness was to change to adjust the subequent contact/via etch. But here again the capacitance and resistances will change which would wreck havoc with your backend timing or worst.

The screw examples another laughable one. That is the best example of where a computer or automation could make the wrong call. Two or 3 points above the mean might not trigger anything and should in an automated response but a person looking at the chart is more likely to take the tool down to run a few quick tests as 3 points with worst particles but not too high is still a concern. A computer will most always make the call to late.

Dong your petty examples are fine examples I hope AMD is doing as that is likely why the have now lost their fabs to some stupid arabs. Like I said GF is perfect two letters for them.

Anonymous said...

...I hope AMD is doing as that is likely why the have now lost their fabs to some stupid arabs...

I really don't know how Roborat can permit this type of racism on his blog. This is really a shame.

But I guess the fat white kid that wrote that quote is being rewarded for writing this nonsense.

Anonymous said...

But I guess the fat white kid that wrote that quote is being rewarded for writing this nonsense.

You acknowledging it his reward... and he is probably less likely to continue as he clearly is not interested in just stirring the pot to get a rise out of people.

And assuming the person that wrote it is a fat white kid is also not a form of racism? I don't condone the remark...but perhaps you should turn the magnifying glass on your own remarks as well?

InTheKnow said...

LOL, just don't confuse people with the myth that somehow you can adjust a transistor's source-drain implant spacing distance to the gate with an implant dose. Nothing could be further from the truth.

*sigh*

No one wants to think outside of the box anymore.

I recall a story of a guy that was taking a physics exam. He was told that he had a pressure gauge and was asked how he would use the device to determine the height of a nearby building.

He avoided the obvious answer of using the pressure differential. Instead he provide two completely different answers from the one that was expected.

First, he suggested scribing degree marks on the case of the pressure gauge and using those to shoot an azimuth and determine the height using trigonometry.

Second, he suggested dropping it from the building and timing how long it took to hit the ground. Then using the basic acceleration equation to determine the height.

Sadly, he was given no credit for creativity.

Before ion beam implant was around, tip-to-tip spacing was determined by dose. The process was called diffusion, and diffusion is all about time and concentration (read dose).

Armed with a real diffusion process, I could devise a process flow where tip-to-tip spacing after implant was dependent on dose. You would just have to be a lunatic to use it.

So the more accurate statement is that you don't adjust the tip-to-tip spacing with dose anymore. :)

InTheKnow said...

I guess I should clarify for those that may not know why Lex is saying that you wouldn't want to control tip-to-tip spacing by adjusting implant dose.

The concentration of the implanted species in the Si matrix has a very pronounced affect on the speed at which electrons travel through the material. So if you change your implant dose, you change timing and have a completely different transistor from the one you designed.

So if I lower my dose, but move the tips closer, it is theoretically possible to get the same timing as the original transistor.

The problem with this proposal is that even though it is technically feasible, it is not going to be terribly robust. Since manufacturability is the goal, you would have to be crazy to go that route when there is a more robust solution.

But I stick by my point, that just because something isn't done, doesn't mean it can't be, or that alternate solutions shouldn't be looked for. Examining alternatives leads to progress.

Anonymous said...

LOL ITK you may fool the fat what kids here with what appears to be facncy physics...

Really, tip dose changes the speed of the electrons. Thats a new effect. I thought it was the spreading resistance of the tip, or more simply the resistance. Since resistance would be different, voltage drop would change, drive current would be reduced, lineard and saturated drive current change. That would effect inverter switching speed, rout. I also remembered that effective electrical field in the drain also has a huge effect on relaiblity too. Yeah, I guess its theortically possible, but WTF would be stupid enough to do this or use this as an example? Says a lot about the moron that would suggest it.

Anonymous said...

ITK, I thought I'd share a little advance processing. Its been a decade or more since anyone really used diffusion techniques. You are limited by solid solubility for dopant concentration of your anneal temperature. So between temperature and time to set the theoritcal junction you pretty much end up with a useless graded juction that will be totally. Go readup up this tecnique is totally useless for any modern MOS high performance sub-micron device. Better not waste our time here with your stupid long posts....

InTheKnow said...

Really, tip dose changes the speed of the electrons. Thats a new effect. I thought it was the spreading resistance of the tip, or more simply the resistance.

And what is the effect of resistance? It slows the bulk flow of electrons. You are just choosing a different way of expressing what I'm saying, so thanks for the validation.

However, since you are determined to turn everything into a personal attack to offset some kind of inferiority complex, I don't see a reason to continue to feed your need for self justification.

Rage all you want, no post that is clearly from you, Lex, will ever get another response from me. I leave it to the other readers of this blog to decide to ignore your inevitable rebuttals to my posts or not, but I'm done with you.

Anonymous said...

I leave it to the other readers of this blog to decide to ignore your inevitable rebuttals to my posts or not, but I'm done with you.

FYI: ITK - They're generally ignored unless they're disguised with enough technical terms to sound like he knows what he's talking about, then I feel the need to respond. I'll hereby refer to this as "Google syndrome" - the ability to find technical information and technical sounding terms on the internet or in books, but the lack of enough core knowledge to apply it correctly.

And you are dead on with dosing... it's not a manufacturable process (as you indicated), but it rebuts Lex's assertion that it is a "myth"... which should have been fairly obvious to most as the argument changed from "it's a myth" to "it's not manufacturable".

Anonymous said...

...And assuming the person that wrote it is a fat white kid is also not a form of racism? I don't condone the remark...but perhaps you should turn the magnifying glass on your own remarks as well?

OK, you might have a point. I apologize for falling into the same level of ignorance as the guy who posted that, but this is not the first time such remarks has been said (or written) in this blog. Like it or not, this is just plain ol' racism and it damages the integrity of the blog (more than what it is).

You gotta be naive to believe that arabs don't buy intel hardware. Indeed, they are intel's biggest customers. Would you believe me if I told you that there are more intel machines deployed in Iran than AMD ones???

InTheKnow said...

Like it or not, this is just plain ol' racism and it damages the integrity of the blog (more than what it is).

While I sympathize with your position, I really think suppressing this type of behavior only makes matters worse in the long run. The politically correct movement has made everyone afraid to say anything for fear of offending someone. All it has really done is give those who want to be offended an excuse to do so.

Not to mention, I'd rather let the bigots expose themselves so I know who and what I'm dealing with.

Anonymous said...

The only thing worse than no apology is a half-ass one.

I "might" have a point? Do I or don't I? Why are you hedging? You seem to be able judge others racism, judge your own comment.

The problem with "might" is that it also implies "might not" which mean there's a possibility there was nothing wrong with what you said. I'm not sure how you apologize without acknowledging it or attempting to minimize it by effectively hedging that I 'might' be right. This is like those awesome celebrity/athlete apologies that start with "if I offended anyone" (effectively implying that if they didn't offend anyone they wouldn't be sorry about their comments or actions)

Also your statement was not sinking to a level of ignorance - it was racist. You took a comment from another poster and assumed the race of the person based solely on the comment... that is the very definition of racism, not ignorance. If it makes you feel better to refer to it as falling to a level of ignorance as opposed to calling it what it is (a racist comment)... fine, whatever helps you sleep at night and rationalize your own behavior.

'Like it or not' your comment was also 'plain ol' racism' and also 'damages the integrity of the blog'... And whether or not someone else has done it numerous times in the past does not excuse, justify or mitigate your behavior, the fact that you are trying to do this... well that's not much of an apology in my book (for whatever "my book" is worth).

Anonymous said...

...The only thing worse than no apology is a half-ass one.

I "might" have a point? Do I or don't I? Why are you hedging? You seem to be able judge others racism, judge your own comment.


Would it make you feel happy if I say that you were right?

...'Like it or not' your comment was also 'plain ol' racism' and also 'damages the integrity of the blog'... And whether or not someone else has done it numerous times in the past does not excuse, justify or mitigate your behavior...

OK, so now you're admitting that this type of behavior has been running wild on this blog in an unpunished manner. Thanks for proving my point.

Anonymous said...

Would it make you feel happy if I say that you were right?

At this point no - it would seem even more fake then your first attempt. You obviously don't think I was right or you would not have hedged in the first place and called the comment ignorance instead of racist. Or you were too embarrassed when the light was reflected on your own comments - either way the 2nd bite at the apple usually is not as good as the first.... and since your first one was crap, you don't need to bother with a 2nd attempt.

OK, so now you're admitting that this type of behavior has been running wild on this blog in an unpunished manner. Thanks for proving my point.

Where did I say it was running wild? Stop making stuff up and putting words in my mouth. At one point, it seemed like you actually meant to add value... now it is clear you are here to troll. The only folks I see making racist comments are you and Lex - I hardly call that running wild.

And unpunished? Who nominated the person making a racist comment while pointing out another's racist comment the moral police? As they say "Let he who has black pot not cast the first kettle in a glass house" (or something like that)

Or maybe I'm just an ignorant ____, ____, ____, ___,___ jerk... please fill in race, age range, physical attributes you want to "ignorantly" attribute to me (I need to be careful to not call it racist when you do it) - and oh if you can also work in religion and nationality this time it would be appreciated! :)

If you have nothing to add other than the hypocritical judgement of one other person on this blog, a blind mis-characterization of a whole blog based on that one person's comments and a complete inability to own up to one's own mistakes while calling out another... well you really don't have to post here... it's not like you're adding anything.

Anonymous said...

WTF

Can't even argue about technical issues or people pretending to only know sh** from google.

Appolagies or the lack of, racism or the lack of, WTF.

Lets talk technology, when we really do ITK can be easily exposed, the closer it is to really bleeding edge the easier it'll be for me, LOL

SPARKS said...

Racism? Heh, we're humans it's been coded into our genes for millennia. We are tribal, all of us, and it got us through some pretty rough times over the eon's. Do you all really think it can be truly eliminated? Nah, too early.

However, we as Americans have an edge, a tremendous edge. Like our technology we are the product of a diversified culture. Our ability to scale beyond the superficial is second to none. We are the best in the world to judge a man '"not by the color of his skin but by the content of his character." We are the melting pot of the world, not the tribal remained of the world separated by language and culture.

Jew Boy?------- Nah,-----Eintein
Nazi?-------------Nah,------Von Braun
WOP?------------Nah,------Fermi

The list is endless, and wonderfully so. We as American's are a VERY powerful people. Our ability to go beyond the superficial through thought, is second to none worldwide.

Intellect is the common denominator. We have it here. It's being re-encoded into our genes, as we speak. We are Americans. No one group or race speaks for us, we are united, unlike the representatives of other nations who speak for a CULTURE, be it German, Italian, Israel, Arab, Japanese, Russian, whatever.

Come to America, "we will add your distinctiveness to our collective."

That's our edge.
That's our power.
That's our brilliance

That's what the rest of the world truly FEARS.

America speaks for all of US, and it's wonderful.

I don't think there are true bigots here, then again, there's a bit of it in all of us.

We can't help it.

SPARKS

SPARKS said...
This comment has been removed by the author.
SPARKS said...

did i post something?

Anonymous said...

SPARKs you the man. WTF know what ITK does, but I do have most fun poking fun at the physical details he tries and posts as real. Perhaps in his little world he knows a lot and wants to impress the stupid fat white boys but I doubt he is much more then a all american boy stuck in a boring job wiht a boring wife and boring kids.

SPARKS said...

Sorry about the two posts before my first.

My most beautiful MICK wife decided she would post on my computer. I was stupid enough to stay logged om while she had access to my machine (she can't keep her frisky little fingers off my machine) (( pun intended)) ).

She's such a bitch, a real American girl.

However, personal atributes aside, I stand by my first, without doubt.

SPARKS

SPARKS said...

"SPARKs you the man. WTF know what ITK does, but I do have most fun poking fun at the physical details he tries and posts as real."


No, The essence is in the details. A wealth of knowledge is contained therein. New terms such as, ------

"You are limited by solid solubility for dopant concentration of your anneal temperature. So between temperature and time to set the theoritcal junction you pretty much end up with a useless graded juction that will be totally."

and--------


"The concentration of the implanted species in the Si matrix has a very pronounced affect on the speed at which electrons travel through the material. So if you change your implant dose, you change timing and have a completely different transistor from the one you designed.

"So if I lower my dose, but move the tips closer, it is theoretically possible to get the same timing as the original transistor."

Why? It gives us, the fat boys, details which we have no clue, an education.

That is the SPRIT of discussion, I for one, will take advantage of, regardless of personal biases.

That's a fact,

Thank you, all, When it comes to knowledge-------

-----we take no prisoners

ITK is invaluable, so are you, and have much to learn

SPARKS

Anonymous said...

...Where did I say it was running wild? Stop making stuff up and putting words in my mouth. At one point, it seemed like you actually meant to add value... now it is clear you are here to troll. The only folks I see making racist comments are you and Lex - I hardly call that running wild.

Strange how people in this blog tend to suffer from amnesia.

This is the only blog where mostly all of the time when someone mentions AMD's Fab takeover, some idiot ignorant mentions the racist word "stupid arabs". I wonder how different the situation might be if the takeover was done instead by Canadians or Australians...

This is the only site (that I'm aware off) where AMDzone is referred as UAEzone.

This is the only site where (once again) some idiot ignorant mentioned that AMD caters to "terrorists" or "muslims".

This is the only site where more than once AMD has been referred as a "non-american company" because some of its shareholders are from the Abu Dhabi group.

...And the racist typos keep going on and running wild in this blog (I just mentioned a few of them).

It's really sick and disgusting to come to a blog like this one searching for information and instead all you get is a sense of hate or xenophobia towards "certain type of people".

If things continue the way how they are, I'll encourage all who I know to not visit this site as a form of protest.

A public apology would be more than accepted from Roborat himself, since it's his blog and it seems that he agrees with all the nonsense that has been said regarding certain type of race/individuals.

Anonymous said...

So is calling AMD Arabian Micro Devices racist?

Anonymous said...

Sparks lower dose moved closer is not even close to higher dose a bit further There are many effects that are in play. Heavier dose or higher concentration or maybe more or less diffusion depending on the species and thermal treament can't be reproduced with a different does and less diffusion.

Those that only know their processing from books will throw the book, those that run the experiments and know the results know something more.

I may be fat, I may be white but I know my shit.

ITK is a pretender. If he really can comprehend what I said above maybe he can take the time to post another 3 part essay to explain why. If he chooses to ignore me it means he don't know his shit.

Anonymous said...

So is calling AMD Arabian Micro Devices racist?

You and I are grown ups and have enough common sense to know that It's not the word or phrase that harms, it's the intention of the author.

So, let me return you the same question:
How would you feel if someone came here and called Intel IraNian TEchnoLogies or INdian TELematics or something to the like?

I know that whoever says such nonsense will be attacked ferociously in this blog for using those remarks.

Anonymous said...

OK you need to learn the definition of racism..

This is the only site (that I'm aware off) where AMDzone is referred as UAEzone.

UAE is a country of the world which is part owner of AMD... if someone made the jest AUSzone (if Australia had invested) or CANzone or USAzone... would you also consider it a racist comment? Now ask yourself what the difference is. (Hint - it's your OWN assumption of what people think about the UAE)

What race or group of people am I denigrating by calling it UAEzone? Am I attacking AMDzone members? Am I attacking the people of UAE by associating them with a technical forum on the web? Actually given the level of intelligence of some people on that forum associating the people of UAE with them might constitute an attack! :) Am I attacking UAE by associating them with AMD?

This is the only site where (once again) some idiot ignorant mentioned that AMD caters to "terrorists" or "muslims".

An incredibly stupid comment, but again how exactly is this racist? If someone said AMD caters to scientologists by selling them chips is it racist? How exactly am I denigrating scientologists by this comment? If I said AMD caters to Catholics... is that an attack on Catholics or an attack on AMD?

If I said "oh that Cisco, they cater to the Italians!" Would that be a racist thing to say? Is that a negative statement about Cisco or about Italians? You don't seem to understand the subject and object of the sentence...

I mean this in all seriousness - is English your first language, perhaps you are having trouble understanding the sentence structure? (I'm not trying to mock you, but these comments are attacks on AMD which you could call stupid or ignorant, but I'm not sure how you could call an attack on a company 'racist')

This is the only site where more than once AMD has been referred as a "non-american company" because some of its shareholders are from the Abu Dhabi group.

Again is this a racist comment or just slamming AMD? If I call Citibank a non-American country because of the foreign stakes taken out recently is that a racist comment? Would I be directing racism at the Russians or British or French by calling Citi a non-American company? Where exactly is the racism in calling AMD a non-American company? (It is an attack on AMD,not the investors)


-----


For awhile I thought you were just trying to stir things up, but now I think you are either trying to defend AMD by playing the racism card at comments that denigrate AMD or you simply do not know the meaning of racism and don't understand an ignorant statement that attacks AMD is not racism, it's just an ignorant statement.

An example of a racist comment would be calling someone a fat "white" kid because they made a perceived racist comment. The reason it would be racist is one, in this hypothetical example, is affiliating a behavior (racism) with a class of people (white)...otherwise there would have been no reason to specifically identify the person as "white" or said person would just as easily call him a fat Asian kid or a fat African American kid or a fat Indian kid.... this is analogous to someone saying he's a terrorist and replying oh he must be islamic.

It just doesn't seem as bad because white people are generally not considered as oppressed or discriminated against like some other classes, but it doesn't make the comment any less racist in nature, only the perception is different.

Anonymous said...

So, let me return you the same question:
How would you feel if someone came here and called Intel IraNian TEchnoLogies or INdian TELematics or something to the like?


Personally, I wouldn't care. Nor would I call the person making that remark a racist (or call the remark racist).

If Iran took 10% stake in Intel I would take the comment as a jab against Intel because of the stake taken out, not as some sort of racist slam against Iranians. Of course if someone called INTEL Irish National Technologies because of an Irish stake in the company, I would take that as a jab against the company as well. (maybe I'm just a naive optimist?)

...And I certainly wouldn't be demanding a public apology on some small blog, no offense Robo :), on the web. And I'm fairly certain Robo wouldn't censor the comment either. Nor would I be STUPID enough to blindly associate one person's comment to everyone on the board. And that apparently is where you and I differ.

A lot of people on "AMD"zone (especially some of the senior folks), posted regularly at a site from a guy name Sharikou... this was a guy who blasted jews, called people Nazi's and had a whole host of "off-color comments" (to the point where it seemed more like a pyschology thesis then an actual technical blog).

I'm just curious - do you assume all the people that continued to post at Sharikou's site over time and did not simply leave in outrage and disgust over the racism there.... should they be considered to share his views? If they disagreed with it shouldn't they have left immediately (or soon after challenging him on it) and stopped posting there right?

Hypocrisy's a bitch ain't it?

Anonymous said...

So, let me return you the same question:
How would you feel if someone came here and called Intel IraNian TEchnoLogies or INdian TELematics or something to the like?


Personally, I wouldn't care. Nor would I call the person making that remark a racist (or call the remark racist).

If Iran took 10% stake in Intel I would take the comment as a jab against Intel because of the stake taken out, not as some sort of racist slam against Iranians. Of course if someone called INTEL Irish National Technologies because of an Irish stake in the company, I would take that as a jab against the company as well. (maybe I'm just a naive optimist?)

...And I certainly wouldn't be demanding a public apology on some small blog, no offense Robo :), on the web. And I'm fairly certain Robo wouldn't censor the comment either. Nor would I be STUPID enough to blindly associate one person's comment to everyone on the board. And that apparently is where you and I differ.

A lot of people on "AMD"zone (especially some of the senior folks), posted regularly at a site from a guy name Sharikou... this was a guy who blasted jews, called people Nazi's and had a whole host of "off-color comments" (to the point where it seemed more like a pyschology thesis then an actual technical blog).

I'm just curious - do you assume all the people that continued to post at Sharikou's site over time and did not simply leave in outrage and disgust over the racism there.... should they be considered to share his views? If they disagreed with it shouldn't they have left immediately (or soon after challenging him on it) and stopped posting there right?

Hypocrisy's a bitch ain't it?

SPARKS said...

OMG! After all this time! The light finally came on in this electricians head! You guys a forming, or striving to form, a perfect single crystal structure!!! They do the same thing when they make turbine blades in aircraft jet engines!! The correct amount of alloy(s) with the correct cycle of temperature, both heated and cooled at different rates! (GE and P+W use induction furnaces)

Sure, it may be an oversimplification, but conceptualizing billion upon billions of perfect (or as close as one can get) microscopic turbine blades on a 12 inch platter is an amazing parallel.

The blades are much simpler (and larger, duh) as heat is the enemy, 2500 degrees before they turn into Silly Putty. In process, resistance is the enemy. The dopant is the alloy with very fine balance between material concentration and time to form the structure. One atomic flaw in the structure makes for a very bad day. Plus, the material has to WORK for a living. And I quote-----

"Adding small numbers of new current carriers by doping allows dramatic changes to the conductivity of the semiconductor."

Turbine blades never had it this bad, they need not have Neils Bohr and quantum energy states as a criteria, or be a few dozen or so atoms thick!!!.

Amazing.

BTW: In the interest of disclosure I AM a Guinea W.O.P. DAYGO, ZIP, Grease Ball.

And I am proud of it.
It's who I am!
Anyone got a problem with that?

SPARKS

Tonus said...

PS- I'll take "geek machismo" over badly misapplied political correctness any day.

Anonymous said...

People poke fun at AMD and the impression is its racism. If you look deeper AMD went shopping for a buyer. Why did they have to go shopping to sell themselves? Because they didn't and haven't had a viable business plan for many years. It is for this reason we make fun of them.

If INTEL had fucked up its business they'd deserve to be made fun of too. I make fun of their fucked up thing called Itanium or Itanic or something that people call it. Is it disrespectful for the people that died on the ship? Maybe so... call me disrepectful to INTEL and all the people on that unluck ship.

People play the racist card here and also in real life often to turn the attention away from the real issue.

Okay what is wrong with AMD is that company has no viable business plan and shouldn't be propped up, no different then GM. They fucked up and should fail. It ain't racism, its common sense!

InTheKnow said...

I found this article on server OEM's attitudes about Nehalem to be quite interesting. Two statements caught my attention in particular.

The first was:
"We had certain thoughts about what we would expect to see [in the survey]. We felt like the release of the new technology was pretty compelling, but that maybe we were too close to the action. It was a little bit of a surprise to see how quickly people were planning to move on it,"....

So Nehalem is making it's way into servers pretty quickly. I guess that shouldn't surprise anyone when you consider that 33 of the top 500 supercomputers are running Nehalem and the product had only been available for 3 months at the time the report was released.

The second was:
Respondents to the NEI survey cited those performance improvements as the No. 1 benefit of the new microarchitecture, but interestingly, bandwidth increases and the faster memory access achieved by eliminating the Core architecture's frontside bus were both considered more important than Nehalem's highly touted power-efficiency gains.

Based on this comment, it would seem that performance is still King. That has to be a blow to AMD marketing since they have been pushing power efficiency so hard. Of course they have also priced their product to produce better performance for the same dollar amount, but their margins are suffering because of it.

Even if Istanbul was equal in performance to Nehalem (and from the limited data I've seen, it still falls a bit short), you just can't sell a bigger die for less and maintain your margins at the same level as your competitor. So AMD may well be able to sell Istanbul well, but it isn't going to be a really high margin product for them.

I wonder why all the analysts aren't screaming that Istanbul is going to wreck AMD margins the way that they scream Atom is going to wreck Intel's margins?

Anonymous said...

AMD Q2 Financials:

Revenue: 1.18Bil (flat with respect to Q1, down 13% from a year ago)
Earnings: -$330Mil or -0.49/share (this includes a favorable one time impact of +86Mil)

Consensus expectations:
Revenue: 1.13B (which would be a miss, especially when you factor Intel beat significantly and there was a one time favorable impact in the #)
Earnings: -0.47/share

This would represent a SIGNIFICANT miss. Sure it only missed the adjusted # of -0.49 by a bit, but if you factor out the one-time impacts (which analysts normally do on their projections) the earnings would have been -0.62/share vs the -0.47 consensus.

The other thing to consider is that the "whisper" #'s were slightly better as many analysts were looking for AMD to beat the #'s like Intel, especially after some of the industry #'s that were reporting Q2 improvements. There was a bit of a runup as a few analysts were upgrading AMD to outperform.

AMD stock price after hours?
Down significantly >-10%. It'll be interesting to see the conference call (and if the analysts start actually asking tougher questions) and the market reaction tomorrow. After earnings announcements the after hours action often is a bit of an over-reaction (both to positive and negative news), though sometimes the market corrects a stock even more the next day.... so who knows.

On the positive side:
Graphics looked good - revenue up 13% from Q1, 1% from year ago (which is actually really good).

Anonymous said...

AMD also providing guidance that Q3 will be up 'slightly' (which is a bit of a disappointing outlook)

Dirk Meyer said he was 'disappointed' with the gross margin of 37% in Q2.... what he didn't mention was that had a one time positive 8% bump in it! The real gross margin was 29%...not good.

You know you can only play with #'s so much... Meyer said they exceeded their revenue plan (which they did thanks to some one time adjustments from inventory writedown in past quarters) and he said they reduce operating expenses.

So exceeded their own revenue expectations and reduced operating expenses and SOMEHOW had disappointing margins? That only means you are playing with #'s or the adjectives you are using "exceeded" "reduced" are a bit nebulous and creatively applied.

The earnings call will be interesting...

SPARKS said...

"On the positive side:
Graphics looked good - revenue up 13% from Q1, 1% from year ago (which is actually really good)."

ATI is carrying the company. I said it over a year ago directly in the face of all those "write downs".

What ever happen to all those claims of "operating profitablity" by 3Q, 2009?


ATOM, Nehalem, bargain basement Core2, ah ---- I don't think so.

I think the days of AMD riding INTC's stock price coat tails are coming to an end.


SPARKS

InTheKnow said...

I think the days of AMD riding INTC's stock price coat tails are coming to an end.

Prior to the economic meltdown, the market seemed to see these two companies as a zero sum game. When one went down, the other would move up and vice versa. Lately, it seems like the market has finally broken out of that mindset. And good riddance I might add.

With margins down below 30% on a GAAP basis, you have to wonder when AMD will stop trying to undercut Intel's pricing for equivalent performance. From what I've seen AMD's fans will swallow any bill of goods that they are sold, so why not charge them prices that will keep the company afloat. Surely, they'd be willing to take one for the green team.

Anonymous said...

From what I've seen AMD's fans will swallow any bill of goods that they are sold, so why not charge them prices that will keep the company afloat. Surely, they'd be willing to take one for the green team.

I assume that's sarcasm... the fan population is probably a TINY percentage of AMD's overall sales. There is so much focus on pricing in the quadcore desktop segment but that still remains pretty small. Mobile's the key as well as the commercial segment of the desktop market - I don't know the makeup of that segment, but I'd assume it's still largely dual core.

The fan sites, blogs, and copy/paste link sites (INQ, Fudzilla) put so much attention on the quadcore desktop space, but it really doesn't have as much a business impact as people think.

The problem as many have said here is the upper bound Intel puts on the top AMD chip due to perfromance (which seems to be about the core i7 920 price). The problem there is once you start getting a bunch of quad SKU's that forces price steps down and then you have tri-core price space and then eventually dual core price space (volume).

I still think tricore is a MASSIVE mistake. People continue to talk about it being good for AMD to make money off chips they might throw away but these chips do 2 key things - it steals sales from quadcores as people who want more than dual core can go cheap with tri-cores, and it suppresses the pricing on the dual cores.

The problem I have with most people's logic on this one is the inherent (and wrong?) assumption that the tri-core is a new sale or stealing sales from Intel... AMD's quad pricing is already low enough where those wanting a tri to save a few bucks, would probably pay a bit more for a bottom bin quad and this would allow AMD to push some of their dual core pricing "up" into the tri-core pricing space. The yield would have to be terrible to justify and offset these impacts (which may have been the case on 65nm, but AMD seems to be doing a bit better with their 45nm process). I wonder, financially, if AMD is better off just scrapping tricores altogether (or even downbinning them to dual cores)

Of course, having said all this... mobile is now a bigger segment anyway!

Tonus said...

"From what I've seen AMD's fans will swallow any bill of goods that they are sold, so why not charge them prices that will keep the company afloat."

I think you're talking about the hardcore fanatics. A lot of hobbyists use AMD because the price to performance ratio makes them a great option, and as the price of an AMD setup increases, their incentive to buy AMD decreases.

But as GURU stated, I think AMD's problem isn't getting the hobbyists on board, it's getting OEMs on board. I think that OEMs will build cheap AMD boxes all day long, but at a certain price point it becomes risky.

As has already been said, AMD's biggest problem is not marketshare, it's ASPs. It doesn't help them to sell a few extra million CPUs if the profit margin is so thin that the company is posting loss after loss. The only way to overcome that is with performance (and not per watt or per dollar), and Intel is not cooperating in that regards.

InTheKnow said...

I assume that's sarcasm

Yes, perhaps I should have indicated that in my post. :)

AMD seems to be doing a bit better with their 45nm process

Based on the improvement in their products, I would have to agree that 45nm is a better process for them. Has anyone seen any 45nm transistor data for IBM's 45nm process? I'd be curious to see how it compares to Intel's.

While IBM's 45nm process seems to be a big step up from 65nm, it does seem to be more thermally constrained than Intel's process. Moving from 2.6GHz to 2.8GH on Istanbul increases the power consumption from 75W to 105W. That is a 40% increase in power consumption for an 8% speed increase. So I think the thermal wall is pretty well defined by their new Istanbul parts.

For Intel moving from 2.93GHz to 3.2GHz increases the clock speed by 9% for a 37% increase in power consumption.

Due to the differences in the way that the two companies report power consumption, I think a comparison within each companies product line is probably a better comparison.

So AMD's Istanbul begins to show dramatic power increases above 2.6GHz, while Intel's Nehalem shows similar increases above 2.93GHz.

Maybe HK/MG does bring something to the table compared to SOI after all? :)

Anonymous said...

Remember when AMD said they were mostly converted over to 45nm production in Q1 and fastest ramp, etc?

Well AMD said they expect crossover to 45nm in Q3... that would be Q3'09.

Intel had 45nm crossover in Q3'08... remember the arguments over at USAzone? (I'll direct my racism toward the USA today).. remember Dementia's well you have to look at how fast they convert not just the launch time? Well it looks like Intel converted, on a much more massive scale, just as fast as AMD converted one (massively underutilized) factory. I mention the utilization as that helps the conversion speed a bit.

Again I wonder when people will stop falling for the AMD ramping and using production starts tricks to make the calendars look better than they really are. The same thing is happening with GF, just now add 'taking orders' into the "hey that'll make it seem like we are even faster to the technology" vernacular.

A Nonny Moose said...

Sparks: ATI is carrying the company. I said it over a year ago directly in the face of all those "write downs".

Hmm, looking at AMD's Q2 report, it looks like "graphics" lost $12M. In fact, they had only one profitable quarter where they made $1M - every other one a loss. Assuming this is mostly ATI, then it doesn't seem they are even paying the debt on the acquisiton loan.

SPARKS said...

"I still think tricore is a MASSIVE mistake. People continue to talk about it being good for AMD to make money off chips they might throw away but these chips do 2 key things - it steals sales from quadcores as people who want more than dual core can go cheap with tri-cores, and it suppresses the pricing on the dual cores."

You've been saying this since day 1, when they launched this travesty, rightfully so.


"The yield would have to be terrible to justify and offset these impacts (which may have been the case on 65nm, but AMD seems to be doing a bit better with their 45nm process)."

Oh, how true, it's gets uglier when you factor in die sizes. I believe the Pheromone II 940 is 258 mm2 whereas a Q9400 is 164 mm2!! I don't have GURU's ticker for applied mathematics, but I'll bet INTC is getting twice the number of chips per wafer, forget yields. OK, so a Q9550 214 mm2, a bit larger, but it's still about 20% smaller than the AMD equivalent, Hello.

Tri-Core Tragedy? I'm with you all the way, always have been from day 1, no doubt! But I still say they are trying to obtain revenue from ANYTHING sellable they can get off a wafer.

I can't think of any other reason to sell this crap, especially when factoring in your premise above, yields, AND die sizes!

Sure, profitability by the end of 2009, right!

SPARKS

Anonymous said...

But I still say they are trying to obtain revenue from ANYTHING sellable they can get off a wafer.

I know that's their theory...but they are assuming it is an EXTRA sale and not taking a AMD quad core sale away and not factoring the price depression of the dual core products.

If it was only about trying to sell an otherwise scrapped product they could just as easily have turned it into a dual core and raise all of the dual core prices into the tri-core segment. THAT would be the sound business decision, but it wouldn't sound cool or new.

The problem is they wanted something "different" and unique from Intel... remember how it was initially marketed as a unique manufacturing capability? Ego got in the way of business, much like the market share at all cost strategy that drove AMD into the ground.

If you could sell 10 products for 1500 or 9 products for 1600 by throwing the 10th away... which would you do? Heck just give it away to charity or to OLPC and get some PR out of it!

The problem is not trying to recoup some revenue on a part, it's in creating a new market segment (which impacts other segments) to do it.

If there was no tricore available where would these customers go? Either ultracheap quad cores (where AMD competes decently) or mid-high end dual cores (which may or may not turn into an AMD sale). Then the question becomes is the lost revenue from the tricore folks that defect from AMD higher or lower than the ASP increase on the dual cores?

And all this is against the backdrop of outstanding and "mature" yields... so in theory you are not talking about a high % of parts...if this is indeed true this strategy is INSANE.

...rant off...

SPARKS said...

Moose,

Ah-hem, ah, let me rephrase, they are carrying the company by not losing as much.

Besides, given AMD's penchant for creative accounting, I'm willing to bet they would dump as much liability on the graphics division to prop up the beleaguered CPU parent as they possibly could.

Compared to 330M, 12M is peanuts. That's over a 3.3M day loss for AMD during the 2Q in contrast to ATI's ~$120,000 day loss. (based on 100 days)

I don't buy it. ATI cards are selling well and have been putting considerable pressure on NVDA Conversely, ATI has been low balling NVDA at every price point, so the margins (if any) are nonexistent, at least to support their report, anyway.

However, I'll concede the argument. The point is yours. I was being optimistic on their behalf.

AMD/ATI can't make money selling anything.

SPARKS

SPARKS said...

"which would you do? Heck just give it away to charity or to OLPC and get some PR out of it!"

After my belly laugh (the best all week), my wife came in an asked, "What are you laughing at?" She saw the AIMeD window, read the comment and said, "I don't get it."

Still laughing, I said, "Thats OK, neither do we."

Well done.


SPARKS

Anonymous said...

I'm dead serious - you put one of those tricores into one of the OLPC toys... just think how hard those kids would be cranking on those computers... which would lead to better physical fitness.

That's what I call win-win and gives new meaning to Megatasking!

Thanks folks, I'll be here all week - don't forget to tip your waiters! :)

SPARKS said...

"just think how hard those kids would be cranking on those computers... which would lead to better physical fitness."

Hey, here's a problem for all you engineers out there. Factor in OLPC and the power requirements for a Tri-Core, a bicycle type generator with it's power output, the caloric requirements for a child to generate the power, to find the necessary food supplements in wheat or rice on a weight basis.

Hell, they'd either starve or bankrupt the U.N.

One Laptop Per Child
One Generator Per Child
Two Pounds of Rice Per Child.

SPARKS

A Nonny Moose said...

Anybody know when AMD's senior notes they issued for the ATI purchase come due? I just wonder how AMD is gonna pay that debt..

SPARKS said...

Moose- I believe 2012 for first cut. I'm not sure about the second.

SPARKS

Anonymous said...

I think there were 2 sets of convertible notes? And they don't have to pay them if they hit the stock price conversion triggers (chuckling... see below)

There was a 1.8-2.2Bil offering that I believe is 2015... they convert to stock if the price hits ~$42 share (a 300% premium at the time of the issuance - the stock was at ~14). Don't think that will get converted!

Then there was one that was 1.5Bil that is 2012 and they convert if the stock hits slightly above $20/share.

AMD used some of the money from the notes for "capped call" transactions which were intended to buy back stock if the triggers above were hit (the $42 and $20). This was bascially insurance and meant to prevent the current shareholder from getting screwed (a good thing) as if the stock hit these prices the note will be converted to stock which would effectively screw shareholders.

Basically if the triggers get hit AMD has to print more stock which dilutes the value of the stock - the capped call transaction offsets this so current shareholders would not get screwed. So AMD bought insurance in case the stock hits $20 by 2012 or $42 by 2015. Probably had to do this, just seems kind of funny now.

Not sure if the GF deal impacted any of the terms.

Anonymous said...

Some other tidbits... the 2015 offering I believe ended up at 2.2Bil. ~200Mil (10%) of it went to the capped call transaction and expenses to do the issuance. They also pay 6% on the notes during their lifetime...

So basically AMD got a $2Bil cash infusion for what will end up costing 3.1Bil (when you consider the 6% interest and the expenses+capped call transaction). If the stock somehow hits $42 share the $2Bil infusion will only end up costing about $1Bil.

I'm ignoring NPV in the above because I'm lazy.... The point is the note sounded good at the time by less informed people, but only if you hit the stock strike prices.

Of course the notes had to happen to pay back the Morgan Stanley loan which had phenomenal terms (for MS) and would have prevented the spinoff. Basically any invested money (or proceeds from selling off pieces of the company) would have gone to paying off the MS loan first.... so it's not like AMD had much choice - they had to dig themselves out of that MS loan before they could carve up the company.

Tonus said...

Have some faith, GURU! Once the US economy tanks and the dollar collapses, AMD should have no trouble hitting $42 a share!

SPARKS said...

"Some other tidbits"

Whew, you REALLY do your homework!!!

God, I love that ticker.

SPARKS

Anonymous said...

Have some faith, GURU! Once the US economy tanks and the dollar collapses, AMD should have no trouble hitting $42 a share!

I'm such a pessimist! How's this:

AMD just needs to do is a 1:10 reverse split and get an ~20% pop in the price. :) (And no, it doesn't work that way!)

On a side note - surprised to see Intel running toward $20, have to think the whole tech rally, and market rally in general, is a bit overdone and due for a pullback.

Anonymous said...

All I know is AMD is going broke and its stake in global fuckup will continue to fall as that is the only way that whoring company can continue to survive is to bend over and get it in the rear from other customers

A Nonny Moose said...

OK, so that I can grasp this better - AMD either has to hit the stock price targets or else they have to repay these convertible notes at the due date?? So realistically that means AMD has to shell out the equivalent of the Intel EU fine in 3 years, and again 3 years after that?

What are their reasonable alternatives, other than declaring bankruptcy? And I don't think they can sell too much more of their share of GF to the UAE, since IIRC the x86 license requires them to retain 25% ownership of their fabs, right?

I note that according to their Q2 report, they have more cash on hand than at the end of Q1, so apparently they squirreled away most of the $800M they got for the GF spinoff. However if their margins don't pick up (which is going to be hard to do with Intel's latest price cuts), I foresee AMD having to go begging once again around the holidays, certainly by this time next year.

What do you all think?

Anonymous said...

Convertible note is just a fancy loan...AMD is on the hook for the principle if the stock price is not hit.

The alternatives:
- find another sucker to give you a loan. If the business is healthier this is probably a possibility.
- They could issue more stock (which of course screws current stockholders and would have to be approved by them).
- They could sell things off (though there is not much left).
- They could license out non-x86 technology (graphics IP?) - though I doubt that would be billions of revenue.
- They could sell their stake in GF (assuming they still have an appreciable equity stake then and that it is still worth something)
- And here's a thought... they could settle the lawsuit with Intel!

What interests me most is the stake AMD has in GF... they have said they will not match any near term capital calls and the belief is that GF will have one this year to maintain enough cash for operations. This means AMD's equity stake will fall below the current 34% stake they have later this year (and will continue to fall over time).

I think the 50% voting stake is what is getting them around the x86 license issues, but I have to wonder at what point does GF say "hey why are we giving a 50% voting stake to a minority owner?" I think AMD's bet here is that they hold out until the x86 license negotiations and try to negotiate out this provision in the licensing agreement. My guess (all speculation) is that Abu Dhabi only agreed to this during the deal knowing that the x86 license is being renegotiated and if provisions are removed, AMD's voting stake will plummet shortly thereafter.

I believe the 50% voting stake in GF was purely a transition state to get around the x86 license and to enable the deal to go through US regulators (and also help transfer the NY kickback to Abu Dhabi). Once the x86 license terms get rid of this provision, things are too far along to turn back. The US regulators can't really do anything... they can't force AMD to invest more in GF, NY can't just rescind the subsidy. If the end state was known (a wholly owned UAE company via Abu Dhabi investments), would the the US trade regulators approved the deal so quickly? Would NY still have given the subsidy?

- I think the cash on hand is a also a bit of a function of the inventory selloff they did. They wrote it off as an expense in Q4 and it was a favorable revenue and margin gain as they sold somewhere just under $100mil (which is essentially positive free cash flow as this stuff was built last year). I guess closing the GF deal also did something to the cash flow.

Tonus said...

So then, doesn't Global Foundries hold all the cards now? Unless I'm reading this wrong, they can get by without AMD as long as they can pick up enough customers to fill the void, whereas AMD would be in a world of hurt (or a bigger world of hurt, since they're there now) if GF found a way to sever the relationship.

Then again, when a group is willing to invest billions of dollars to keep your company afloat, you don't really have much of a negotiating position.

On to more relevant things! I finally ordered the Noctua HSF and installed it about 30 minutes ago. It would've been sooner but um... let's just say it's a good thing that Intel CPUs shut themselves down when they hit a certain thermal ceiling. Anyway, it's properly installed now and the system is humming along at (checks CPU-Z) 3675MHz with only a voltage bump to 1.20v.

The system didn't boot* at 3.8GHz, and I didn't want to fiddle with the voltage or other settings. A 1GHz increase for .01 extra voltage is just fine with me.

*I say it didn't boot, but I was able to shut it down and restart it, and was greeted with a message to the effect that "Overclocking failed! Hit F1 to enter Setup..." I really do like this P6T. Even dumb white boys (or off-white, to be exact) can't screw up a P6T!

Anonymous said...

So then, doesn't Global Foundries hold all the cards now? Unless I'm reading this wrong, they can get by without AMD as long as they can pick up enough customers to fill the void, whereas AMD would be in a world of hurt (or a bigger world of hurt, since they're there now) if GF found a way to sever the relationship.

They're joined at the hip for the next 2-3 years (at least). If AMD fails, GF fails because they are 100% of their capacity right now and will remain well over 50-60% for the foreseeable future. A fab operating at low utilization (which is what would happen if GF lost AMD as a customer) is one heading into bankruptcy. And of course if GF fails, then AMD fails becasue they own part of the loss (34%) and eventually will have to pay higher wafer costs going to TSMC or UMC (not to mention the x86 license issues).

If GF can build enough of a customer base (which is probably 5 years best case) to fill their fabs without AMD, then they are holding all the cards as they could then threaten to walk away. AMD obviously has some pre-negotiated wafer cost terms as part of the spinoff, but that's got to have some sort of expiration on it. Part of the problem is you're soon talking about filling 3 fabs of capacity.... that ain't easy, especially without AMD. There aren't that many really high volume players who are going to foundries so you are talking lots of customers.

Bottom line next 3+ years, if one company fails they both fail. You really just need to view this as one company with 2 large business units. Give one of them some cement shoes and they're both swimming with the fishes.

SPARKS said...

"Give one of them some cement shoes and they're both swimming with the fishes."

'Tattallia's a pimp. I didn't know, until this very day, it was Barzini along.'

With all respect, Don GURU.

SPARKS

SPARKS said...

duh- 'all along'

Anonymous said...

GF is nothing but a shell game where AMD was able to get some additional money and unload the liabilities of the capital intensive fab business. AMD can't survive without GF and GF can't survive without AMD. Lets face it it takes years if not a decade or more to nurture the support and other design services required to compete as a foundry like TSMC. GF has been for its whole prior live a specialized fab. Possibly by sharing the same technology and design kit that IBM has GF can get a head start. No serious customer beside ATI will every use GF. Lets face it you know who their most important customer is. You'd rather use TSMC, Charter, SMIC or other more agnostic foundry then GF. No GF is nothing but a financial shell game for AMD.

GF changes nothing AMD is still in big trouble. They are behind on technology and have too little marketshare to continue to invest. GF won't be enough to diversify the development cost. To do that they need to be like TSMC, but to be like TSMC they must dedicate too much capacity other customers and the cost of AMD. But, GF can't to that either. Its so obvious its a total shell game, but most are too stupid to see it.

No nothing has changed, to compete AMD needs to catch up or be no more then 3-6 months behind INTEL on technology and have at least 30% market share. They don't have either so they are Globally Fucked, GF

SPARKS said...

"Its so obvious its a total shell game, but most are too stupid to see it."

Yeah, sure, most of what you say has a solid foundation in fact, and I agree with much of it. However, not so fast.

There are other political issues here as well as legal ones. For example, their is a growing body in this new administration to challenge what they perceive as monopolies:

http://www.nytimes.com/2009/07/26/business
/26antitrust.html?ref=business

Additionally, GF broke ground last week thanks in part to the NYS taxpayers. It was a deal they signed into years back when AMD was fat and sassy. Additionally, the movement on the infrastructure was well in place before AMD lost their asses in 2007 and 2008. In short NYS couldn't back out for many reasons.

There is a strong anti Intel sentiment sweeping Europe that goes beyond "Monopolistic Practices." Believe it or not, Intel is considered the Company that supports Israel and their "crimes against humanity." Anti-Semitism is alive and well in Europe. I discovered this last week during my posts over at the INQ.

http://www.theinquirer.net/inquirer/news/
1469219/intel-appeals-euro-fine


Add to this the fines INTC has been charged with in other nations, and subsequently found guilty of, these are legal presidents can only help AMD.

AMD still has and open suit in Delaware.

Look, I don't like the sons-of-bitches anymore than you do. (And that's saying something) However, as we have been saying all along, in addition to designing CPU and GPU's, they really know how to make a good law suit. But this will be anything but a cakewalk for INTC. AMD has been gaining legal and political traction.

They are going to play this out to very last, and they don't care who suffers, shareholders, Intel, it's workers, or this country. They believe they are ENTITLED to go on despite record debt, 11 consecutive quarterly losses, a weak product lineup, and an eroding market share.

AMD will not go away quietly into the night. You've really got to give them credit (sort of speak), they are really masters of survival, like New York City cockroaches.

SPARKS

Tonus said...

I had not heard anything about Gulftown until this morning (or at least, I cannot recall if I heard about it before). So after all of this talk of 6-core AMD CPUs, Intel will debut a 6-core CPU of its own sometime in 2010. From Fudzilla:

"As we’ve mentioned several times before, the 32nm Gulftown 6-core (or hexa-core) chip will launch in 1H 2010 as the first chip in the Westmere family, the successor to Nehalem. Intel Core i9 will appeal to a highly enthusiast market niche, as it is based solely on socket LGA 1366 and retains compatibility with the X58 chipset which isn’t going anywhere in the foreseeable future.

From a clearly analytical perspective, Core i9 Gulftown can be viewed as Core i7 + 2, with six physical cores, a total thread count of 12 and a 50 percent L3 cache increase over Core i7 Bloomfield.
"

I guess Intel cannot be accused of sandbagging. :p Looks as if Intel's intention is to cut off AMD at both ends of the pricing spectrum (Core i3 and Atom at the low end, Core i7 and i9 at the upper end) and then compete directly with Core i5.

I wonder if the lawsuits and trust-busting has convinced Intel that it's time to go after AMD with everything in their arsenal.

Orthogonal said...

Hey folks, it's been a while.

Tonus, the Gulftown chip is technically the Gainestown successor in which a derivative will also be released as a Bloomfield successor. I don't know if the Bloomfield derivative has a specific codename, but Gulftown is essentially the catch-all for that platform.

I don't know the exact time frame for release since it is always a moving target, but Q2 2010 would be my best guess at the earliest it is seen based on when it was first taped out.

A Nonny Moose said...

Looks like der Ghost has been banning people again who disagree with the AMDZone party line:

http://www.amdzone.com/phpbb3/viewtopic.php?f=52&t=136532&st=0&sk=t&sd=a&start=25

And I think our ol' buddy Hyc may be on the short-lifetime list as well.

You know, I can see people getting banned for a number of reasons such as spamming, ad hominem attacks, etc etc. But to ban somebody with legitimate questions about AMD's business acumen, particularly questioning the value of the AMD purchase, is just dumb. Leave it to AMDZone to gradually steer the middle ground to Intel's side, leaving just the die-hard fanbois. Actually not even 100% of them - Zooty got banned there recently as well.

Tonus said...

ZootyGray had gone from AMD fan to quasi-religious nutcase some time ago, so it's probably a good thing that they removed him. As for the other guy that got removed, it's not as if people aren't forewarned if they just read up a bit before they joined. They don't want to hear anything positive about Intel or negative about AMD, and they've been pretty clear about that.

If a site is determined to make itself irrelevant, I guess that's their right.

I'd be surprised to see HYC removed, but they do seem to be just a bit (hehe) touchy about the ATI acquisition. I agree with HYC-- ATI may be doing well, but what they bring to AMD doesn't seem to be worth $5.4billion. Then again, this is a forum where they feel that the spinoff of manufacturing into a separate company is a GOOD thing.

Tonus said...

Oh and thanks for the info, Ortho! Good to see a post from you. I guess I've got a bit less than a year to save up for a Gainestown CPU, then... :)

A Nonny Moose said...

Tonus - apparently Zooty, who uses "SighQ2" when posting on Tom's, lives in some primitive shack in Canada, collects rainwater in a barrel, and uses dialup for his diatribes against Intel :). Somebody should do that hermit-wannabe a favor and cut his phone line...

And in the AMDZone thread, we now have the great Scientia postulating on the events at Tom's:

If you obey the rules of AMDZone you won't receive any temporary bans. It takes a lot to get disciplinary action but that action cannot be avoided because the forum has to remain usable for the majority of the posters. We've seen other sites degrade into endless personal attacks, flaming, and cultural dogma. If you feel that you can't or won't obey the rules then you may be better off elsewhere. ForumZ at Tom's Hardware Guide was eager to ban pro-AMD posters like MadModMike and 9-Inch but the culture really didn't improve until one of the rabid, pro-Intel posters, JumpingJack, left the site. We've seen similar cultural attacks at XtremeSystem Forum and even places like George Ou's former blog.

I know JJ has posted here infrequently, but he would be surprised to learn of Sci's opinion of him. I recall reading a few posts of Sci's on THG - while he received some polite attention, he never seemed as knowledgeable as JJ or the other posters who left for XCPU's. And MMM & 9-inch were idiots, along the line of BM but worse, which is why they got banned.

SPARKS said...

Ortho! Oh Master of the chipset! Champion of the soon to be extinct FSB! My sincerest gratitude to the upgrade that never happened!

The last of the Mohicans, the X48, 1800+ MHz barnstormer! Alas, 10275 MB/s well into, and sometimes surpassing the IMC of "the other brand." Oh, if they you boys loose on one more iteration. (Sigh) It wasn't to be.

Of course, it's nothing compared to the 13000 MB/s of rocket monster i7 IMC, but it did indeed save yours truly a bundle of money for a new upgrade, to which I am very pleased, and eternally grateful.

QX9770 and X48, the combo that made ole' FSB go out with a bang, and I just can't seem to let it go! KUDOS!

Nice to hear from you!

Any new freebie's that you would like to mention??? Feel free!

SPARKS

Anonymous said...

I recall reading a few posts of Sci's on THG - while he received some polite attention, he never seemed as knowledgeable as JJ or the other posters who left for XCPU's.

Please don't embarass JJ by even comparing him and Scientia. JJ appears as though he has a pretty good scientific education and while he may not work in the industry (a guess), he does appear to have the background to understand and assess information he reads (and acknowledges when he doesn't)

Scientia is not even close - he can filter stuff he finds on the web but when he tries to use and assimilate that information into an opinion it exposes his serious lack of background in the process area and is readily evident to anyone with a bit of a background. He does just enough to fool people with no or little background; which applies to most people over at CANzone. There are a few knowledgeable folks over there with regard to architecture, software and hardware side, but I don't think there's a single person there who has much of a clue when it comes to manufacturing and process technology.

Anonymous said...

http://www.semiconductor.net/article/316595-GlobalFoundries_Outlines_22_nm_Roadmap.php

Some info on GF tech roadmap. Some highlights:

- embedded SiC stressors on 22nm, not sure how big an impact this will be, could be significant.

- Air gap? Lots of air and lots of gaps in implementing this on 22nm! "Unlikely" is the official GF stance...in a shocker apparently trying to integrate this means using cladding layers, which kind of kills a lot of the benefit... this is frighteninly similar to IBM's SILK announcement... sounds good on paper and in simple test devices, when actually integrating it into a working process and product, not so much

- ZRAM appears dead....another stunner, :) Remember when AMD first licensed this and people at Dementia's were talking about 32nm and even possibly 45nm on K10... not so much!

- Oh in another stunner GF claims to be closing the gap with Intel... they assume informed readers do not notice some of the technology timelines they are claiming are for foundry processes that are not high performance processes that are directly comparable to Intel's timeline.

Orthogonal said...

Ortho! Oh Master of the chipset! Champion of the soon to be extinct FSB! My sincerest gratitude to the upgrade that never happened!

Well, I have moved on from chipsets and have left F12. It was fun while it lasted, but if you want to stay relevant, you have to move with the latest and greatest. Working on 32nm now and will be part of the F32 ramp.

No new freebies, they can be quite stingy, especially in a down economy, but with the release of the new SSD G2's, I'll be certain to take the employee discount.

SPARKS said...

"Working on 32nm now and will be part of the F32 ramp."

XOXO I love it when you speak with such mater-of-fact conviction, I think I'll pop a cold one to you boys. INTC makes it look all too easy. Tic Tock til ya Rock!

"SSD G2's, I'll be certain to take the employee discount."

Hoo Ya! I just read Anands preview of the new drives. (A full review is on the way, once he gets the OK from the big boys) He did say, however, INTC is going to make these badboys very attractive. From what I see, OCZ and the like, are starting to nip at INTC's heels.

By God, if they get these things down to 2 bucks a gig, I'm in. I'm sick to death of mechanical drives craping out on me. I had a Raptor screw the pooch a few weeks back, and in a RAID 0 setup, it wasn't the first, and it ain't no fun.

"Madam, I am endeavoring the construct a Nemonic Memory Bank with stone knives and bearskins."

I chucked 'em both.

Christ, employee discount? F--k'en a bubba, there's a no brainer! Please, keep us informed when you get it hooked up.

BTW: Congrats on the move to 32, and F 32!

SPARKS

SPARKS said...

" - Oh in another stunner GF claims to be closing the gap with Intel... they assume informed readers do not notice some of the technology timelines they are claiming are for foundry processes that are not high performance processes that are directly comparable to Intel's timeline."

I read the whole interview with The Dirk. Overclocker's did the analysis, it wasn't pretty. Some poster even started to beat up on 'Moose. In short 'The Dirkster' sounded like a Snake Oil Salesman with pipe dream.

"SiC stressors", come on "G", give the rabble a break. Am I on the right track here?

http://www.faqs.org/patents/app/20090140351


"Air Gaps" are we talking about the best insulators besides a vacuum?

SPARKS

InTheKnow said...

"SiC stressors", come on "G", give the rabble a break. Am I on the right track here?

I'm hardly "G", but you might find this article on strain technologies interesting. It is a bit dated (being 3 years old), but the physics haven't changed. As mentioned briefly in the article, SiC is a potential substitute material for SiGe.

If you want a more technical paper on SiC strain, have a look here. Just click the "Full Text PDF" link.

InTheKnow said...

Well, I finally found at least a little detail on AMD's 45nm process. For those that are offended by data that doesn't show Intel as being ahead in any and all aspects for the technological race, STOP READING NOW.

Highlights are as follows:

In fact, Intel's 45-nm HKMG transistors offer the best peak drive currents on the market today with 1.36 microamps/ micrometer for nFETs and just over a milliamp for pFETs. Compared to Intel's speed-burners, a typical 45-nm transistor on AMD's Shanghai is a lot less powerful. The transistor drive current for AMD's 45-nm devices is much lower than the Intel HKMG transistors.

The minimum gate length on Shanghai is 38 nm--a reduction of only seven percent from the 65-nm node. But the transistor performance is 19% better for the nFET and 23% better for the pFET compared to 65-nm transistors.

Our transistor benchmarking indicates that leakage current is less than one-third of AMD's 65-nm process. It's also significantly lower than the Intel 45-nm HKMG process. In fact, the Ion/Ioff ratio for AMD's pFET is nearly 10 times better than the Intel pFET.

That third bullet might have something to do with the process cliff that "G" kept mentioning on AMD's 65nm process. :)

It seems that AMD has chosen to trade raw performance for a better Ion/Ioff ratio. This would indicate that AMD can probably get more transistors into the same power envelope than Intel. But they will need more transistors to generate the same performance. The introduction of their 6-core chip Istanbul would seem to bear this out.

Of course, more transistors means bigger chips, and that means thinner margins. So AMD isn't out of the woods just yet, but the picture isn't as bleak as some would like to paint it. AMD does have some strengths they can play to.

Anonymous said...

SiC is essentially the "opposite" of SiGe...

SiGe is used for PMOS devices - it has a bigger lattice constant, so if you grow it epitaxially (meaning you maintain a single crystal orientation) in the source and drain regions it exerts a force on the transistor channel (as that is pure Si and you are essentially 'squeezing'the channel). Compression in PMOS device means holes move faster (higher "mobility") and thus better performance. The higher % Ge you can use in the SiGe film the more gain you can potentially get, but there are limits to how much Ge you can use.

If you use SiGe in NMOS it would have the exact opposite impact - by putting the NMOS channel in compression (again think of it as squishing the Si atoms closer together) - electrons would have lower mobility as it would be harder to move through the channel without more collisions (meaning lower mobility and worse performance). So for NMOS you want to stretch the channel - pull the Si atoms apart to make it easier for electrons to move. To do this you need to put a material with a smaller lattice constant in the source and drain and this will "pull" or spread the Si channel atoms apart a bit and make electrons move faster (meaning faster NMOS transistor).

The trick, as was the case in SiGe which Intel started on 90nm and I believe AMD didn't implement until 2 generations later on 45nm, is integrating the process and maintaining as much of the theoretical benefit as possible. The concept/theory is not that difficult but getting this to work with all of the different things going on... subsequent film depositions exert stresses, thermal cycling can impact things, implant, salicide, yield, etc is obviously not trivial.

Tonus said...

"I know JJ has posted here infrequently, but he would be surprised to learn of Sci's opinion of him."

I'm not so sure that he'd be surprised. :)

Tonus said...

"And MMM & 9-inch were idiots, along the line of BM but worse, which is why they got banned."

I assume that "BM" is Baron Matrix. He has posted here in the past. Recently he made a curious post at AMDZone, wherein he blamed Intel for the economic downturn, using their price cuts as an example. He felt that by cutting CPU prices as much as $500, Intel cost Circuit City millions of dollars in profit, thus leading to their bankruptcy.

It is one of the most mind-boggling bits of 'logic' I've seen in a while. Aside from the idea that cutting prices is a bad thing, there's the notion that a store will sell exactly the same amount of any product, regardless of cost, and therefore lowering prices harms them. Huh?

Tonus said...

To add to the above, there's also the fact that Intel raising prices by $500 per CPU would not result in higher profits for a store. Higher revenues, yes, but not higher profits (and in fact, possibly lower profits, as the store cuts its margins to account for the higher price).

Moving along... xBit Labs claims that Clarksdale will arrive in Q1 2010 as Core i3 and Core i5 processors, running at speeds of 2.93/3.06GHz for the i3 and 3.2/3.33/3.46 for the i5. The prices will be in the range of $123 to $284. AMD fans have been pointing out that P2 compared well in price and performance to C2Q and was cheaper than i7. Now Intel is targeting P2 with Nehalem at all price points.

The first half of 2010 could be very bloody unless AMD comes up with something that can keep up or Intel screws up.

A Nonny Moose said...

Tonus: I assume that "BM" is Baron Matrix. He has posted here in the past. Recently he made a curious post at AMDZone, wherein he blamed Intel for the economic downturn, using their price cuts as an example. He felt that by cutting CPU prices as much as $500, Intel cost Circuit City millions of dollars in profit, thus leading to their bankruptcy.

Yes I saw that - quite amusing analysis, and on par with what the infamous Sharikook, Ph.U.D, used to babble on about on his blog - to protect margins, Intel should voluntarily reduce output in order to guarantee AMD a ~50% marketshare, and that way both companies could command high ASPs. This was right before Core2 was introduced. The Kook said he actually wrote a letter to the CEOs of both AMD and Intel with this peace-making offer. Of course, the letter to Intel threatened an all-out price war if they refused to cooperate :).

Intel, to its credit, ignored both AMD and the Kook as being insignificant and proceeded with its own plans, and we all know how that turned out. And then BM started posting the same FUD over on Tom's, gettng quite angry with those who disagreed with him (nearly everybody there, since nobody wanted to pay $1K or so for a low-end or mid-tier CPU, just so AMD could make a profit).

As for my comparing JJ with Sci, please note that I complimented JJ and did not compliment Sci. Sorry for the post, but I thought it amusing that Sci would try to burnish his place in history by denigrating JJ. I guess he doesn't realize that the basement cellar is also a "place" in history :).

SPARKS said...

Whoa. So let me get this stressor thing straight. The best understood variables here are dependant on the types of devices, both n types and p types.

One likes a bit of a stretch, while the other like a bit of a squeeze. Obviously, each type must be treated seperatly during production, chemicals, masks, annealing, etc. I can understand most of the basic fundementals. What I don't understand is how many times do they anneal the wafer? Does a subsequent anneal affect the previously annealed layer, or do they factor in that each anneal will contribute compession/tension at each step, contributing all to the overall desired outcome?

In other words, do they partially bake the dough, then re-bake again after adding the sauce, then re-bake again after adding the cheese, factoring in that the dough and sauce will be perfectly cooked when the cheese is done?

How is this done without adversly affecting the more sensitive areas such as the lattice structures that need to be aligned (and doped) in very specific way, for each device type; without affecting the performance of the other? (Hey, they overcooked the pepperoni's) Can you, for the lack of a better term, "over-anneal" and mess up the other "finished" layers?

Are these things stretching, tensing, crystalizing, recrystalizing throughout the entire 25 or 30 step or so process? If so, it seems to me like you've got a lot of things swimming around before you get a usable chip!

This multi-step heating and reheating is baffling, forget the doping, and masking for the moment.

SPARKS

InTheKnow said...

Sparks, I'm aware of 2 reasons for the annealing steps.

The first is in the back end to change the properties of the copper traces. The high leveler concentration used to ensure void free traces in copper plating produce a very non-ductile, brittle, copper deposit. The back end anneals serve to change the grain structure of these copper traces, which relieves stress and improves the ductility.

The second is because of the doping. Ion Implantation, or implant, is performed by accelerating charged particles in an ion beam. These ions are "implanted" when they crash into the surface of the wafer. They have enough energy that they actually penetrate into the Si matrix. In the process of being violently inserted into the Si matrix, the ions cause damage to the surface and the surrounding Si matrix. The purpose of the post implant anneals is to repair the damage and normalize the stress that implanting the ions imparts to the Si matrix.

And yes, you can "over-anneal". You've heard mention of the thermal budget on this forum. That is a reference to the amount of time at temperature that the wafer can stand before the tightly controlled geometry of the annealed regions diffuses too far and degrades the performance of your transistor.

Anonymous said...

There's also an anneal for salicide formation (this is used to form the silicide to contact the source/drain and gate (in some schemes).

It's a fairly low temp process that mixes the silicide metal (current tech is Ni) with the Si to form NiSi.

There are also some other minor 'heat treatments' for other purposes but the salicide and the ones ITK hit above are the main ones.

InTheKnow said...

There's also an anneal for salicide formation

Thanks, Guru. I didn't know that.

And thanks for correcting my post on the use of SiGe. It would have been far more accurate for me to say that SiC is a complimentary technology to SiGe technology than stating it was a replacement.

I should know better than to post while sleep deprived. :)

Anonymous said...

No worries. I wasn't so much as trying to correct you, but just trying to add some additional info.

SPARKS said...

Since Ortho mentioned 32nM @ F32, Hexus got their fingers on a Gulftown ES (AKA i9 W5590, perhaps?). INTC is obviously not resting on their laurels. Indeed, they're executing with clockwork precision. From the article, it's kicking the tar out of i7, @ 2.4 GIG???

"The chip aims to offer more of that Nehalem goodness, and you'd be looking at a 50 per cent increase in terms of cores and cache - making it a six-core derivative of Bloomfield that's armed with hyper threading and 12MB of L3."


I'm sure AMD has some brilliant marketing ideas and clever naming schemes to counter this shrunken i7 on steroids.

At the risk of being redundant, INTC makes look all too easy.

http://www.hexus.net/content/item.php?item=19571

SPARKS

Anonymous said...

Typical intel-worshiping from spark and company. ;)

SPARKS said...

D1D in Oregon is up and running.
F32 will be running at the end of the year. (We have our man Ortho there giving his best)

That 32nM if you're totally clueless.

Sure, I worship INTC. They send me fat dividend checks and I've had the pleasure of owning the fastest machine on the planet. What's not to like? Duh.

You just go on worshiping AMD. Buy their stock and inferior products while your at it.

How do you define loser?

;) ;) ;) ;)

SPARKS

Anonymous said...

Gotta love to hear the 'catching up stories on INQ, Fuddie, GERzone... as 32nm approaches, the story has now shifted to well they might be catching up on 22nm. Again this is done not not realizing the foundry is announcing schedules based on their initial low performance process, and not the high performance SOI process needed for CPU's. (By the way it is smart for the foundry to do it this way... while it would be nice to start with the high end process which commands a premium, foundry business is typically not leading edge to begin with anway. Last quarter TSMC still did as much business on 90nm as it did on 65nm!)

Now I'm not saying folks will never catch up... things can happen and at some point the node transitions will likely slow from the 2 year period (my guess would be post 15nm), but these catching up stories are getting old. And somehow despite this game being played over numerous generations (since what 65nm?), people still choose to compare Intel product out dates to AMD/GF's mysterious 'ramping', 'sampling', shipping','in production' and the new one 'taking orders'.

I'm a solutions oriented guy, so I have a solution for AMD in the catching up game... they should see if Intel will do the foundry work for them. When Intel refuses they can claim 'monopoly' and argue to Obama that Intel exclusively using their own process technology for just their products is anti-competitive! :)

On a side note there apparently there is no truth to the rumor that AMD looked to trade in their company for a $4500 credit in the Obama "cash for clunkers" program. (This program is total insanity! Far beyond the 1.2Bil NY subsidy to the UAE via Abu Dhabi)...rant off.

SPARKS said...

"On a side note there apparently there is no truth to the rumor that AMD looked to trade in their company for a $4500 credit in the Obama "cash for clunkers" program."

Hey, considering their long term debt and 11 sequental quarterly losses, they could finally turn a profit! That's if Uncle Sam ate the debt, of course.

They'd never do it. Dirk, Wrecktor, and company wouldn't get their high end paychecks. Besides, me along with other NYS taxpayers, have given them 1.2B in 'juggling' money!

Stayin' Alive!
Stayin' Alive!
Ha, Ha, Ha, Ha, stayin' alive....!

Seriously, though, you were right (as usual) about them going to bulk process. (Thought I missed that, eh?)

SPARKS

Orthogonal said...

D1D in Oregon is up and running.
F32 will be running at the end of the year. (We have our man Ortho there giving his best)


Not to rain on your parade or anything, but "up and running" should be stated very loosely. Yes, conversion will be in progress, but it likely won't be until Q2'2010 for risk starts.

Anonymous said...

I wasn't trying to say AMD is going to a bulk process.

Just to clarify, GF is giving schedules based on their Si bulk processes, and from the public info on their schedules, the SOI process seems to lag that at least 3-6 months... so when people look at the bulk Si schedules they mistakenly assume that's the corresponding schedule for AMD CPU's - but it's not you have to look at the schedule for the SOI processes.

Anonymous said...

Sparks,

here are two good reads for you
link1,
link2

At first blush it looks like IBM claims to have matched INTEL on 32nm but read again and you'll see they are close but still not there yet.

SPARKS said...

"Not to rain on your parade or anything, but "up and running" should be stated very loosely."

Well, perhaps not a parade. Maybe a small 'demonstration' of sorts. Forgive my enthusiasm, please.

"I wasn't trying to say AMD is going to a bulk process."

I didn't say you were, not today, anyway. But you did speculate they would well over a year ago. Obviously, the move hasn't surprised you, and from your comment, you agree the move was prudent.

Further, your prediction on PDSOI not being a long term viable process is in good company. INTC said they didn't like it either.

Your argument was why bother with an unproven tech when refinements to commercially successful (and cheaper, as I recall) proven tech which would be far more successful.

It has, and AMD is now doing Si bulk.

"Module 1 of the Dresden facility will remain a high-performance SOI 45nm fab for the time being, but Global Foundries will also be offering bulk fabrication to customers soon through a second module scheduled to ramp production late this year."

http://hothardware.com/Articles/An-Introduction-To-Global-Foundries/

AMD/GF going bulk? I call it capitulation, and you've been right so far.

The only thing anyone is ever wrong about is underestimating INTC.

(I didn't check the read yet. I will relish it over a nice cup of coffee)

SPARKS

Anonymous said...

Ortho why don't you just post the schedule.

BTW make sure you copy carefully, don't think to much just copy!

«Oldest ‹Older   401 – 600 of 966   Newer› Newest»